CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 按键显示

搜索资源列表

  1. 4x4KEY

    0下载:
  2. 首先将下载板插到主板上面. 1。源文件保存在src目录,QII的工程文件保存在Proj目录; 2。程序实现的功能测试4×4键盘按键;具体的键值通过板上的数码管来显示。按下K1就显示1,K2就显示2.用户可以试试. 3。具体设计参考代码。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:70167
    • 提供者:Jak
  1. RS232

    0下载:
  2. 本实验实现PS/2接口与RS-232接口的数据传输, PS/2键盘上按下按键,可以通过RS-232自动传送到主机的串口调试终端上(sscom32.exe); 并在数据接收区显示接收到的字符。 串口调试终端的设置:波特率115200,一个停止位,无校验位。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:730490
    • 提供者:李华
  1. FPGA读写控制sram

    1下载:
  2. 拨码开关控制读写,按键控制地址加,读出数据由数码管显示,直观展现了程序是否正确。
  3. 所属分类:VHDL编程

    • 发布日期:2009-11-18
    • 文件大小:1284
    • 提供者:swordjoe
  1. 用VHDL语言实现四人智力竞赛抢答器的设计

    0下载:
  2. 1、用feng模块将选手按下按键信号输出高电平给锁存模块lockb,进行锁存的同时发出aim信号实现声音提示,并使count模块进行答题时间的倒计时,在计满100妙后送出声音提示; 2、用ch41a模块将抢答结果转换为二进制数; 3、用sel模块产生数码管片选信号; 4、用ch42a模块将对应数码管片选信号,送出需要的显示信号; 5、用七段译码器dispa模块进行译码。
  3. 所属分类:VHDL编程

  1. FPGA实现加法器

    0下载:
  2. 用按键控制选择进制,并用数码管显示
  3. 所属分类:VHDL编程

    • 发布日期:2010-11-20
    • 文件大小:529270
    • 提供者:bubble
  1. lcm0.rar

    0下载:
  2. 入门,verilog语言,实现字符型液晶1602的显示,及按键控制,verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:425653
    • 提供者:方龙
  1. ps2_fpga

    0下载:
  2. 键盘输入,在数码管显示对应按键的编码,从中了解键盘输入原理-Keyboard input, the digital display corresponds to the encoding keys
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:412360
    • 提供者:chen
  1. EPM240Prj.rar

    0下载:
  2. 这是一个verilog HDL 语言的例子,在CPLD器件EPM240上实现了 RS232协议、按键处理、LED数码管显示和每秒加1数码显示。使用quartus ii 7.0 以上打开.,This is an example of verilog HDL language in the CPLD device EPM240 achieved RS232 agreement, deal button, LED digital tube display and digital display plu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:521035
    • 提供者:白蚁
  1. RF24L01yaokong

    0下载:
  2. MSP430F149—nRF24L01的全双工通信程序,发送端通过按键发送键值,接受端接受并用LCD显示。-MSP430F149-nRF24L01 full-duplex communication process, sender to send keys through the key, the receiving end to accept and use the LCD display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:30319
    • 提供者:江亭
  1. wash

    1下载:
  2. 设计制作一个简易全自动洗衣机控制器。 1、洗衣机的功能有洗涤、漂洗和脱水,每个功能持续的时间分别为20秒、15秒和10秒。 2、用一个按键实现洗衣程序的手动选择:A、单洗涤;B、单漂洗;C、单脱水;D、漂洗和脱水;E、洗涤、漂洗和脱水全过程。 3、在所选择的程序完成之后,控制器应处于停止状态。 4、用一个按键实现暂停洗衣和继续洗衣的控制, 暂停后继续洗衣应回到暂停之前保留的状态。 5、用发光二极管指示状态;用数码管以倒计时的方式显示当前状态的剩余时间 -Aut
  3. 所属分类:VHDL编程

    • 发布日期:2013-12-06
    • 文件大小:610434
    • 提供者:onway
  1. VHDL_electronic_organ

    0下载:
  2. 简易电子琴,可以弹奏音乐。本课程设计主要内容是基于VHDL语言并利用数控分频器设计硬件电子琴,利用GW48作为课程开发硬件平台,键1至键8设计为电子琴键。某一个LED显示当前的按键的音节数。-Simple organ, can play music. The main contents of this curriculum design is based on the VHDL language and the use of digital hardware design divider org
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:266826
    • 提供者:lsb
  1. LEDtest

    0下载:
  2. VHDL语言实现流水灯,通过按键控制显示方向,流水快慢-VHDL language flow lights show through the key control direction, flow speed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:410621
    • 提供者:朱传雨
  1. 1

    0下载:
  2. 程序通过显示数码管显示当前所在的楼层。 用拨码开关代表内部按钮,拨到高是认为按下。其中sw3代表4楼按键,sw2代表3楼按键,sw1代表2楼按键,sw0代表1楼按键。 用按键代表外部按钮,按下认为是按下。其中bt3代表4楼按键,bt2代表3楼按键,bt1代表2楼按键,bt0代表1楼按键。 用一下步骤进行演示: (1)初始时,显示为1,即在一层的位置。 (2)首先演示单人按键的情况:按动一个按钮,例如4,可以看到数码管逐步跳到4,表示到4楼。 (3)拨拨码开关,例如1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:491374
    • 提供者:lly
  1. keyboard

    0下载:
  2. 键盘输入实验 实验要求:利用实验板上的4×3小键盘,当检测到有键按下时,读取按键值并在LED数码管中显示该值。-Keyboard input test test requirements: use of experimental board 4 × 3 keypad when a key is detected, the read key value and the LED digital display the value.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1175
    • 提供者:吴凡
  1. music_player

    1下载:
  2. FPGA实现音乐播放器,蜂鸣器播音,LED点阵屏同步滚动显示歌词,与音乐同步效果好,按键控制播放、暂停、停止、重播。-FPGA realization of music players, broadcasting buzzer, LED dot matrix display screen, synchronized scrolling lyrics and music synchronization effect, buttons control play, pause, stop, repla
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2433977
    • 提供者:顿河战神
  1. ps2

    0下载:
  2. 采用sopc技术,nios2ide开发环境,实现nios对ps2键盘的控制,按键讲ascii码显示在led上-Using sopc technology, nios2ide development environment to achieve nios right ps2 keyboard control, key speakers led the ascii code is displayed in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:8153203
    • 提供者:蹇清平
  1. vgatutorial13

    0下载:
  2. 这个主要是用VHDL语言来实现从xilinxFPGA中的RBOM中读取一幅图像,并通过VGA口显示出来,同时还有加密的功能,按不同的按键可以实现图像颜色转换。-The VHDL language is mainly used in the RBOM from a xilinxFPGA to read an image, and displayed through the VGA port, as well as the function of encryption, the keys can b
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1768378
    • 提供者:张元甲
  1. VHDLSourceProgramofAudioFrequencySignalGenerator.r

    0下载:
  2. 音频信号发生器的VHDL源程序,在FPGA中实现不同频率的输出并将按键信息送给数码管显示。-VHDL source program of Audio Frequency Signal Generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1011
    • 提供者:杨波
  1. jtd

    0下载:
  2. 本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的1KHz 时钟,黄灯闪烁时钟要求为2Hz,七段码管的时间显示为1Hz 脉冲,即每1s 中递减一次,在显示时间小于3 秒的时候,通车方向的黄灯以2Hz的频率闪烁。系统中用S1 按键进行复位。-To complete the tasks in this experiment is to design a simple traffic light controller, t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:41984
    • 提供者:卢陶
  1. Seven-Segment-LED

    0下载:
  2. 七段数码管按键识别,按下1键显示1,按下2键显示2-Seven-Segment LED identification keys, press the 1 key to show the 1, press 2 to display 2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:236216
    • 提供者:蔡宇佳
« 1 2 34 5 6 7 8 9 10 ... 18 »
搜珍网 www.dssz.com