CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 按键显示

搜索资源列表

  1. miaobiao

    0下载:
  2. 这是一个手速测定器,利用单片机的按键、中断与LED六位七段数码管来显示。-This is a hand-speed measuring device, the use of single-chip key, interrupt and six seven-segment LED displays.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:755
    • 提供者:方秋红
  1. PS2_kb

    0下载:
  2. 利用PS2接口将键盘按键的通码在数码管上显示出来-PS2-Schnittstelle mit Hilfe der Tasten durch den Code auf der Sieben-Segment-LED-Display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:347242
    • 提供者:刘渝
  1. ZLG7289B

    0下载:
  2. ZLG7289B 的主要特性 􀂄 很宽的工作电压范围:+2.7~6V; 􀂄 直接驱动8 位共阴式数码管(1 英寸以下)或64 只独立的LED; 􀂄 能够管理多达64 只按键,自动消除抖动; 􀂄 段电流可达15mA 以上,字电流可达100mA; 􀂄 利用功率电路可以方便地驱动1 英寸以上的大型数码管; 􀂄 具有左移、右移、闪烁、消隐、段点亮等强大功能; 􀂄 要
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1414044
    • 提供者:周文杰
  1. KeyBoard

    0下载:
  2. 通过键盘按键,键盘的字符ASSIC值通过8个led灯显示-led show the ASSIC code of the key pressed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:175688
    • 提供者:liuwei
  1. jiaotongdeng_c

    0下载:
  2. 液晶屏显示时间,控制交通灯,可设置时间长短,由按键控制。-jiaotongdeng kongzhiqi,c daima
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:95724
    • 提供者:
  1. tanchishe

    0下载:
  2. 本实验以设计贪吃蛇游戏为课题。最终结果是以8*8点阵作为本游戏的显示界面,通过按键BTN1,BTN2,BTN3,BTN4分别控制蛇的运动方向,分别为“上下左右”。蛇的长度为六个点阵,另外以一点表示老鼠,当蛇头吃到老鼠时,老鼠则在点阵上改变位置,也就是说通过一个伪随机函数在点阵上产生老鼠的位置,每当蛇头吃到老鼠时,就自动加一分,并在数码管显示。每隔五分钟,在蛇没有吃到老鼠的前提下,老鼠就自动在点阵上改变位置,这样就增加了游戏的趣味性和难度性。- In this experiment, the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1076805
    • 提供者:张三
  1. xin

    0下载:
  2. 4*4个按键控制led数码管的显示(0-f) -Key control led digital tube display (0-f)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1116
    • 提供者:ranran
  1. PS2

    0下载:
  2. PS2程序——键盘发送一个按键 通过寄存器将其ASCI编码转换成十六进制 在数码管上显示----本人已在LYC FREE EDA开发板上验证通过-PS2 program-the keyboard to send a button through the register will be the ASCI coding convert hex in digital tube display-I have in LYC FREE EDA development board through verif
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:354753
    • 提供者:
  1. clock

    0下载:
  2. clk: 标准时钟信号,本例中,其频率为4Hz; clk_1k: 产生闹铃音、报时音的时钟信号,本例中其频率为1024Hz; mode: 功能控制信号;为0:计时功能; 为1:闹钟功能; 为2:手动校时功能; turn: 接按键,在手动校时功能时,选择是调整小时,还是分钟; 若长时间按住该键,还可使秒信号清零,用于精确调时; change: 接按键,手动调整时,每按一次,计数器加1; 如果长按,则连续快速加1,用于快速调时和定时; hour,min,se
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:491790
    • 提供者:happy
  1. keyboard

    0下载:
  2. vhdl简单的键盘程序,可以通过它来初步的了解vhdl键盘程序的相关编写,具体功能是按键并显示相关的代码-vhdl simple keyboard program written in it to a preliminary understanding of vhdl keyboard program, the specific function keys and display the code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:646
    • 提供者:
  1. KEY_SMG

    0下载:
  2. 嵌入式FPGA中的nios ii例程。输入按键,数码管显示相印的数字-Embedded in the FPGA nios ii routines. Input buttons, digital pipe display in the digital printing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5767715
    • 提供者:战天
  1. blackjack

    0下载:
  2. 利用verilogHDL语言编写的一个21点游戏,利用开发板上的按键开关与液晶显示作为人机交互,有人机对战和双人对战两种模式。-This is a blackjack game which is designed with verilogHDL. It contains two modes, which are Player2Player and Player2Computer mode.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:66919
    • 提供者:eaton
  1. capature_key

    0下载:
  2. 实现检测按键与控制LED进行显示,利用ISE10.1版本,及ALMIGHTY开发板实现按键驱动LED的实验-Detection key and control LED to display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:769
    • 提供者:小泽
  1. Project2

    0下载:
  2. 1、 硬件部分包括AT89C52、LCD1602和4个独立按键 2、 使用定时器0产生10ms的定时中断,作为时钟基准和软件定时器的基准。 3、 系统使用两个软件定时器Tkeyscan和Tdisplay。 Tkeyscan用来独立按键模块的定时扫描,每次扫描结合按键状态机的当前状态判断按键的有效性(消抖)及其时长(长按还是短按)。 Tdisplay用来定时激活LCD1602的显示(200ms一次,可自行修改)。 4、 整个系统在四种状态间流转:DISPL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:73444
    • 提供者:杨若
  1. LCD_CALENDER

    0下载:
  2. 在12232液晶屏上显示当前的日期、时间及星期。按键A为日期、时间和星期 * * 切换按键-12232 LCD screen displays the current date, time and day of the week. A key date, time and day of the week* Switch button
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4293
    • 提供者:well
  1. ui

    0下载:
  2. 10实验十:利用语言实现按键和数码管显示-In 10 experiments : the use of language to achieve ten keys and digital tube display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:254565
    • 提供者:钟安
  1. keys

    0下载:
  2. 为16个按键编码0到15,当按下其中一个键时数码管显示相应编码。-0-15 for 16 key coding, digital display when you press one of the key coding.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:225686
    • 提供者:money
  1. DA_TLC5620

    1下载:
  2. fpga实验板上的实现DA,AD转换,按动按键,数码管显示增加-The realization of the board on fpga DA, AD transform, press the button, digital pipe display increased
  3. 所属分类:VHDL编程

    • 发布日期:2014-02-23
    • 文件大小:703008
    • 提供者:123
  1. key_led

    0下载:
  2. 基于EP按键控制LED灯显示. 读取按键信号实验 如果按下的是key1,那么点亮LED1 如果按下的是key2,那么点亮LED1-LED2 以此类推,如果下按key8,那么全部点亮8个led-Buttons control the LED lights show
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:391971
    • 提供者:黄远豪
  1. Automatic-telephone-card

    0下载:
  2. 自动售卡机,有四个按键,分别代表100,50,20和10,能够找零和显示钱-Automatic telephone card
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:114066
    • 提供者:fsr
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 18 »
搜珍网 www.dssz.com