CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 数字电压表

搜索资源列表

  1. A_D_translate

    0下载:
  2. 利用实验板上的ADC0809做A/D转换器,实验板上的电位器提供模拟量输入,编制程序,将模拟量转换成二进制数字量,在数码管的最高两位显示出数字量来。另外要把模拟量值在数码管的最低三位显示出来。例如显示“80 2.50”( 其中80是采样数值,而2.50是电压值。要求程序可连续运行以便测量不同的模拟电压(类似于电压表) (注意:多次采集求平均值可提高转换精度) -Experimental board do ADC0809 A/D converter, test board provides
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:52843
    • 提供者:yangxiao
  1. ADC0809-digital-voltage-meter

    0下载:
  2. ADC0809简易数字电压表数码管显示c程序-ADC0809 digital voltage meter.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1068
    • 提供者:林中海
  1. v-watch

    1下载:
  2. 基于fpga的数字电压表的设计,包括ad转换,bcd码转换,分频,3选1模块,小数点生成模块,显示模块组成。-Based on the FPGA digital voltage meter design, including AD conversion, BCD code conversion, frequency,3 choose1module, a decimal point generating module, display module.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-04
    • 文件大小:2048
    • 提供者:紫罗
  1. example-of-MCU

    0下载:
  2. 单片机的c语言教程:定时报警器,交通灯,密码锁,出租车计价器,频率可调的方波信号发生器,简易数字直流电压表。-Microcontroller c language tutorial: Timing alarm, traffic lights, locks, taxi meter, adjustable frequency square-wave generator, a simple digital DC voltmeter.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:470279
    • 提供者:孙策
  1. 02-NEC_1999_B

    0下载:
  2. 数字有效值电压表(1999年B题),本例程的rst(复位)键位于拨码开关的第1位(最右边),高电平有效。-Digital rms voltmeter Problem B (1999), the routine rst (reset) button is located in one of the DIP switch (far right), active high.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1466556
    • 提供者:艾米丽
  1. AD_change

    0下载:
  2. 利用ADC0809实现模拟与数字的转换,并在数码管上显示实际的数据,测试过与实际电压表测量的模拟数据精确-Use ADC0809 analog and digital conversion, digital tube display the actual data, tested the precision measurement of analog data with the actual voltmeter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:498498
    • 提供者:崔可
  1. volt

    1下载:
  2. 基于FPGA的数字电压表的设计。用FPGA完成ADC0809的控制,测量电压值,并用数码管显示。-FPGA-based design of digital voltmeter. ADC0809 with FPGA complete control, measure voltage, and digital tube display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:147428
    • 提供者:allen
  1. 09.数字电压表

    0下载:
  2. 本实验我们将电位计的阻值转化为模拟值读取出来,然后显示到屏幕上,这也是我们以后完成自己所需的实验功能所必须掌握的实例应用。(In this experiment, we transform the resistance of potentiometer into analogue value and read it to the screen, which is an example application that we must master after we finish the expe
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:1056768
    • 提供者:acba
« 1 2»
搜珍网 www.dssz.com