CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 数据采集模块

搜索资源列表

  1. VHDL

    0下载:
  2. 采用VHDL语言设计一个4通道的数据采集控制模块。系统的功能描述如下: 1.系统主时钟为100 MHz。 2.数据为16位-数据线上连续2次00FF后数据传输开始。 3.系统内部总线宽度为8位。 4.共有4个通道(ch1、ch2、ch3、ch4),每个通道配备100 Bytes的RAM,当存满数据后停止数据采集并且相应通道的状态位产生报警信号。 5.数据分为8位串行输出,输出时钟由外部数据读取电路给出。 6.具备显示模块驱动功能。由SEL信号设置显示的通道,DISPLAY
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5783271
    • 提供者:pengfu
  1. daima

    0下载:
  2. 状态机控制AD转换模块 该模块主要实现对MAX197的控制:根据设计需要对芯片进行初始化(包括写控制字选择输入电压值范围、选择通道以及工作模式),并把通道数送指示灯显示以及用键盘控制通道号(按一下,通道号加1,同时点亮相应的指示灯,循环使用个通道);控制状态机的工作时序,并置两次采集到的数据为12位数据输出,并经过锁存进程来锁存数据,最后从锁存器中把输出数据-The state machine controls AD and changes the module this module ma
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:2771
    • 提供者:万俟斌
  1. AD_ctrl

    1下载:
  2. 用VHDL编程实现的基于FPGA的adc0809和ad1674的控制模块,做数据采集的朋友可以看一下。-VHDL Programming with FPGA-based control adc0809 and ad1674 modules, data acquisition so friends can see.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1764
    • 提供者:jia
  1. Digital_frequency_meter

    0下载:
  2. 本项目基于等精度测量频率的原理,利用Verilog硬件描述语言设计实现了频率计内部功能模块,对传统的等精度测量方法进行了改进,增加了测量脉冲宽度的功能 采用STC89C52单片机进行数据运算处理,利用液晶显示器对测量的频率、占空比进行实时显示。充分发挥FPGA(现场可编程门阵列)的高速数据采集能力和单片机的高效计算与控制能力,使两者有机地结合起来。-The project is based on the principle of equal precision frequency measure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-04
    • 文件大小:1339392
    • 提供者:swekey
  1. USB4716ARMCE

    0下载:
  2. 数据采集模块USB4716 的ARM驱动程序可直接安装在wince系统中-qudongsofeware
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:94127
    • 提供者:胡建勇
  1. shixucaiyangkongzhimokuai

    0下载:
  2. 时序控制采样模块,可以根据自己的需要对程序进行相应的修改,以采集到自己想要的数据。-Sequential control sampling module, can need according to oneself the procedure with the corresponding revision, they want to collect the data.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:625
    • 提供者:杨阳
  1. smg_interface

    0下载:
  2. FIFO高速数据采集处理,串口发送接收封装,独立于上一模块,-FIFO high-speed data acquisition and processing, sending and receiving serial package, independent of the previous module,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:54342
    • 提供者:shippom
  1. shuzidianyabiao

    1下载:
  2. 系统基于EDA技术的智能数字电压表实现,以现场可编程门阵列(FPGA)为设计核心,集成于一片Xilinx公司的SpartanⅡE系列XC2S100E-6PQ208芯片上,在ISE环境下采用超高速硬件描述语言(VHDL)模块化编程,实现了电压的数据采集、转换、处理、显示等功能。本设计的特点在于能够测量的电压范围宽(0~50VDC),主要采用了分压原理,该系统具有集成度高、灵活性强、易于开发和维护等特点。-System based on EDA technology of intelligent d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-04
    • 文件大小:15360
    • 提供者:zhao
  1. LKB001-U1-LK650-06

    1下载:
  2. 16通道高速DI数据采集模块程序,采用verilog 编写,quartus,cyclone EP1C3T1-high LVDS comm DI module hollysys bei jing quartus verilog
  3. 所属分类:VHDL编程

    • 发布日期:2016-11-08
    • 文件大小:41001
    • 提供者:mycjj
  1. My_DHT11_UART

    0下载:
  2. zigbee模块中cc2430的温湿度的数据采集-In cc2430 data collection
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:56060
    • 提供者:林路锋
  1. ADC0809-data-collect-and-display

    0下载:
  2. 采用VHDL设计ADC0809数据采集及显示体统, 顶层模块主要是将各个功能模块组合在一起,完成整体的功能。各个子模块作为元件,在顶层模块中对各个子模块例化,传递中间信号。-To VHDL design ADC0809 data acquisition and display the decency, top-level module is the various functional modules together to complete the overall functionality.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:135865
    • 提供者:Weimiao Cai
  1. shujucaiji

    0下载:
  2. 数据采集系统用VHDL实现,把各个模块有机的结合起来,省去了单片机及其大量外围电路的连接-Data acquisition system using VHDL, the organic combination of each module, eliminating the need for a large number of single-chip peripheral circuits and connections
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:248764
    • 提供者:zhugege
  1. videocpt

    0下载:
  2. 用VHDl语言实现高速视频数据采集模块代码-High-speed video data acquisition module code language used VHDl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1166
    • 提供者:Diego
  1. tlc549adc

    0下载:
  2. FPGA AD数据采集模块,实现模拟信号到数字信号转换。-FPGA AD data acquisition module, the analog signal to digital signal conversion.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:685832
    • 提供者:刘芳
  1. FPGA-high-precision-frequency-meter

    0下载:
  2. 基于FPGA的高精度频率计设计实验 展示数字存储示波器基本工作原理。 展示硬件测频和测周的基本原理。 在现有综合实践平台上开发DSO硬件频率计模块的方案及流程。 结合数据采集、存储和触发模块的FPGA代码。 FPGA代码完善DSO的频率计模块,实现高精度测频和测周功能。-FPGA-based high-precision frequency meter design experiments       Demonstrate the bas
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-02
    • 文件大小:14547723
    • 提供者:liu
  1. ov7670-1

    0下载:
  2. ov7670摄像头FPGA数据采集、显示模块,测试可用-ov7670 camera, verilog code, video capture and display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6324852
    • 提供者:yexin
  1. AD_sample

    0下载:
  2. AD采集模块,设计模块采集AD5270的输出数据-AD Collection module Design module to collect the output data of AD5270
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:62359
    • 提供者:张黑
  1. scope_new

    0下载:
  2. 本实验,为 ZX-2 开发板的综合实验,该实验利用 ZX-2 开发板上的 ADC、独 立按键、 UART 等外设, 搭建了一个具备丰富功能的数据采集卡, ZX-2 开发板负 责进行数据的采集并将数据通过串口发送到 PC 机上, PC 端,利用强大的串口调 试工具——串口猎人,来实现数据的接收分析,并将数据分别以波形、码表、柱 状图的形式动态显示出来,以让使用者能够直观的看到 ADC 采集到的信号细节。 同时,用户也可以使用串口猎人通过串口给下位机( FPGA) 发送指令,下位
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-16
    • 文件大小:24395805
    • 提供者:啧啧啧
  1. mt9d112_ddr2

    0下载:
  2. 镁光MT9基于FPGA图像采集模块,该模块可同时采集两路视频信号。其包括完整的时序和接口、ddr2内存数据写入和存储、qsys系统的搭建、FPGA与NIOS II联合设计-Micron MT9 based on FPGA image acquisition module, the module can simultaneously capture two video signals. Including the complete timing and interface, ddr2 memory
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-22
    • 文件大小:39202996
    • 提供者:
  1. 5_Gray_Mean_Filter

    0下载:
  2. 均值滤波是典型的线性滤波算法,(Verilog HDL)设计所需的模块有: (1)带PLL的全局时钟管理模块 system_ctrl_pll.v (2)OV7725 COMS Sensor的初始化模块 i2c_timing_ctrl、I2C_OV7725_RGB565_Conofig (3)OV7725 COMS Sensor的视频信号采集模块COMS_Capture_RGB565 (4)SDRAM数据交互控制器Sdram_Control_2Port (5)VGA时序
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-11
    • 文件大小:8895977
    • 提供者:Keyonwho
« 12 »
搜珍网 www.dssz.com