CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 数码管驱动

搜索资源列表

  1. smxsqddl

    1下载:
  2. 本实验只为了解教学系统中8位八段数码管显示模块的工作原理,设计标准扫描驱动电路模块.-this experiment only to understand the teaching system eight eight LED Display Module principle, design standards scanning drive circuit module.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:10906
    • 提供者:cheng
  1. judgedisplay

    0下载:
  2. FPGA驱动数码管,本人编写的vhdl源程序,QUARTUS II调试成功
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1212
    • 提供者:王真
  1. clock

    1下载:
  2. 这是一个实现时分秒的时钟功能的源码,采用vhdl语言编写,已写好led驱动,可直接在数码管上显示
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:247074
    • 提供者:xiaoshuai
  1. sy

    0下载:
  2. 七段显示译码器(功能:将思维二进制数译成七段输出信号,驱动数码管显示)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4657
    • 提供者:snow
  1. alarm

    0下载:
  2. 1.6个数码管动态扫描显示驱动 2.按键模式选择(时\\分\\秒)与调整控制 3.用硬件描述语言(或混合原理图)设计时、分、秒计数器模块、按键控制状态机模块、动态扫描显示驱动模块、顶层模块。要求有闹钟定闹功能,时、分定闹即可,无需时、分、秒定闹。要求使用实验箱左下角的6个动态数码管(DS6 A~DS1A)显示时、分、秒;要求模式按键和调整按键信号都取自经过防抖处理后的按键跳线插孔。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:622072
    • 提供者:xulina
  1. top1

    0下载:
  2. 1.6个数码管静态显示驱动 2.按键模式选择(时\\分\\秒)与调整控制 3.用硬件描述语言(或混合原理图)设计时、分、秒计数器模块、按键控制状态机模块、显示译码模块、顶层模块。要求使用实验箱右下角的6个静态数码管(DS8C, DS7C, DS4B, DS3B, DS2B, DS1B)显示时、分、秒;要求模式按键和调整按键信号都取自经过防抖处理后的按键跳线插孔。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:250841
    • 提供者:xulina
  1. daima.用VHDL语言设计一个数字秒表

    1下载:
  2. 用VHDL语言设计一个数字秒表: 1、 秒表的计时范围是0秒~59分59.99秒,显示的最长时间为59分59秒。 2、 计时精度为10MS。 3、 复位开关可以随时使用,按下一次复位开关,计时器清零。 4、 具有开始/停止功能,按一下开关,计时器开始计时,再按一下,停止计时。系统设计分为几大部分,包括控制模块、时基分频模块、计时模块和显示模块等。其中,计时模块有分为六进制和十进制计时器。计时是对标准时钟脉冲计数。计数器由四个十进制计数器和两个六进制计数器构成,其中毫秒位、十毫秒位、秒位和
  3. 所属分类:VHDL编程

    • 发布日期:2016-01-26
    • 文件大小:4767
    • 提供者:SAM
  1. shumaguanxianshi

    0下载:
  2. 数码管显示的实验,让读者了解数码管的原理,用vhdl驱动它的方法,并学习vhdl的使用技巧-Digital display of the experiment, so that readers understand the principles of digital control, using the method vhdl drive it and learn to use techniques vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:162605
    • 提供者:
  1. LED7

    0下载:
  2. 七段数码管的源代码 用Quartus II 9.0 (32-Bit) 编译的七段数码管的驱动程序-thes is LED7
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-26
    • 文件大小:256000
    • 提供者:lhy
  1. tftlcd

    0下载:
  2. 正点原子tftlcd的fpga驱动(三个tft*.v),还包括了大西瓜fpga开发板的数码管驱动和一个运行屏保的小功能,quartus6.0下开发。除了初始化代码,其他控制与主流tftlcd兼容。-verilog languge tftlcd driver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-07
    • 文件大小:4832755
    • 提供者:Chen Hao
  1. seg7

    0下载:
  2. fpga上nios处理器avalon总线数码管驱动,包含任务逻辑,寄存器,和接口的verilog HDL描述-fpga nios processor avalon bus on digital tube driver, including the task logic, registers, and interfaces verilog HDL descr iption
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:4934
    • 提供者:郎亚洲
  1. smg_8

    0下载:
  2. 基于verilog HDL预言的8段数码管驱动程序,模块化-Predictions based on verilog HDL 8 digital tube driver, modular
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:106418
    • 提供者:张明想
  1. seg7_driver

    0下载:
  2. verilog七段数码管驱动,显示内容可以自己更改。-verilog segment digital tube driver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:179270
    • 提供者:毛昱枫
  1. Digitron_driver

    0下载:
  2. 分模块描述的8位数码管驱动,在上面静态显示12345678.-Points module describes eight digital tube driver, in static display above 12345678.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:390159
    • 提供者:张瀚元
  1. traffic_light_3_09

    0下载:
  2. 数码管驱动、HC595驱动、VHDL、分频器-Digital tube drive, HC595 drive, VHDL, divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1496788
    • 提供者:曾经
  1. seg7_verilog

    0下载:
  2. FPGA简单应用,VHDL程序,数码管驱动程序,供学习参考。-FPGA and simple application, VHDL program, digital tube driver, provide the reference for the study.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3615567
    • 提供者:浮云之上
  1. shumaguan

    0下载:
  2. 七段数码管驱动,在DE2开发板上通过拨动开关输入数字,在数码管中显示-Seven-segment LED driver, the DE2 board to enter numbers by toggle switch in the digital tube display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:286815
    • 提供者:李西平
  1. 07_number_mod

    0下载:
  2. verilog语言设计的2位数码管驱动。在Quarus11.0下编译成功,并在黑金开发板上测试OK-2 digital verilog language design tube drive. In Quarus11.0 compiler success, and OK in the test development board black gold
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:5894997
    • 提供者:hmyang2006
  1. seg7_verilog

    0下载:
  2. 七段式LED数码管驱动,Verilog源码,FPGA开发学习。硬件描述语言基础学习。-LED driver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-13
    • 文件大小:3422732
    • 提供者:曹振吉
  1. seg

    0下载:
  2. 7段数码管驱动,基于MAX II EPM40-7 u6BB5 u6570 u7801 u7BA1 u9A71 u52A8
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-16
    • 文件大小:240640
    • 提供者:zhang
« 1 23 4 5 6 »
搜珍网 www.dssz.com