CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 数码

搜索资源列表

  1. traffic-VHDL

    0下载:
  2. 最简便的交通灯控制源代码 适合VHDL初学者 十字路口红绿灯控制,数码管显示-The most simple traffic lights to control the source code for VHDL beginners crossroads traffic lights control, digital display
  3. 所属分类:VHDL编程

    • 发布日期:2016-12-23
    • 文件大小:1733
    • 提供者:何柯东
  1. VHDLplj

    1下载:
  2. (1)设计4位十进制频率计测量范围: 1Hz~9999Hz (2)测量的数值通过4个数码管显示 (3)频率超过9999Hz时,溢出指示灯亮,可以作为扩大测量范围的接口-(1) the design of four decimal frequency measuring range: 1Hz ~ 9999Hz (2) measurement values through four digital tube display (3) the frequency of more than 999
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:6070
    • 提供者:name
  1. lock

    0下载:
  2. 功能: 1、 密码输入:每按下一个键,要求在数码管上显示,并依次左移; 2、密码清除:清除密码输入,并将输入置为”0000”; 3、密码修改:将当前输入设为新的密码; 4、上锁和开锁。-Features: 1, enter the password: press a key for each request in the digital tube display, and turn left 2, password clear: to remove the password i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:155297
    • 提供者:谢柳
  1. SSD2

    0下载:
  2. 在Xilinx7.1平台下编写,可以实现七段数码管的译码功能!-Xilinx7.1 platform in the preparation can be achieved and seventh of the decoding functions of digital tube!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:966
    • 提供者:梁晓炬
  1. verilog_led

    0下载:
  2. 基于Verilog HDL的数码管程序设计-Verilog HDL-based digital control programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:85690
    • 提供者:蓝色的海
  1. scan_keyboard

    0下载:
  2. 读取4*4键盘的键值,并用数码管显示,我写的,值得下载!-Read 4* 4 keyboard keys, and digital display, I wrote, it is worth downloading!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:279516
    • 提供者:王明
  1. four_fadd

    0下载:
  2. 这是我在ISP编程实验中独立编写的采用结构化描述的四位全加器,通过四次映射一位全加器的方式实现了四位全加器的功能,并附有数码显示模块,将全加器的运算结果输出到数码管显示。-This is my ISP programming experiment in the preparation of an independent structural descr iption of the four full-adder, through the four mapping of a full adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:122350
    • 提供者:daisichong
  1. VHDL

    0下载:
  2. 7段数码显示译码器设计,包裹程序设计,实验目的,内容,图像。-7 digital display decoder design, package design, experimental purposes, content, images.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:7669
    • 提供者:刘阳
  1. cnt_fry

    0下载:
  2. 本程序功能是由VHDL语言实现对频率的测量,然后用数码管进行显示-The program features by the VHDL language to achieve the frequency of measurement, and then use the digital tube display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:390201
    • 提供者:daigunagzhi
  1. shuzizhongdianlu

    0下载:
  2. 利用计数器和分频器设计一个实时的时钟。一共需要1个模24计数器、2个模6计数器、2个模10计数器、一个生成1Hz的分频器和6个数码管解码器。最终用HEX5~HEX4显示小时(0~23),用HEX3~HEX2显示分钟(0~59),用HEX1~HEX0显示秒钟(0~59)。 -The use of counters and prescaler design a real-time clock. Mold needs a total of 24 counters, 2 Die 6 counters,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1043
    • 提供者:linyao
  1. jiaotongdeng

    0下载:
  2. 1). 用红、绿、黄三色发光二极管作信号灯。主干道为东西向,有红、绿、黄三个灯;支干道为南北向,也有红、绿、黄三个灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠到禁行线之外。 2).由于主干道车辆较多而支干道车辆较少,所以主干道绿灯时间较长。当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮红灯,两者交替重复。主干道每次放行50秒,支干道每次放行30秒。 在每次由亮绿灯变成亮红灯的转换过程中间,需要亮5秒的黄灯作为过渡,以使行驶中的车辆有时间
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1935
    • 提供者:靓仔
  1. freqm

    0下载:
  2. 以CPLD器件EPM7128SLC84-15为核心实现的简易数字频率计,采用在一定时间内对数字脉冲计数的方法,可直接测量TTL电平的数字脉冲信号的频率、周期和脉宽。其他一些信号可经过信号预处理电路变换后测量。 量程:1Hz~999999Hz 输入信号:(1)TTL电平数字脉冲信号;(2)方波/正弦波,幅度0.5~5V 显示:七段数码管显示频率(Hz)和周期/脉宽(us) 控制:两个拨码开关切换三种工作模式:测频率,测周期,测脉宽-Frequency Counter realize
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1054140
    • 提供者:tom
  1. ElectronicCodeLock

    0下载:
  2. 设计一个通用电子密码锁,具体功能如下:[1]数码输入 [2]数码清除 [3]密码更改 [4]激活电锁 [5]解除电锁-The design of a universal electronic code lock, the specific features are as follows: [1] digital input [2] Digital Clear [3] Password Change [4] to activate electric lock [5] the lifting
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1436
    • 提供者:小夏
  1. clock

    0下载:
  2. 用VHDL语言写的实时时钟 用数码管显示 基于的控制芯片是EP1C6Q24C08-VHDL language used to write the real-time clock with digital display are based on the control chip EP1C6Q24C08
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:353056
    • 提供者:周到
  1. LEDdecoder

    0下载:
  2. 基于vhdl的Led七段数码显示的设计。-Led the team respectively VHDL-based digital display design.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:688
    • 提供者:tony
  1. led

    0下载:
  2. 八段数码管的显示的小程序,环境是VHDL-0h
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:105520
    • 提供者:mars343
  1. FPGA-drivenLEDdisplay

    0下载:
  2. FPGA驱动LED显示:运用硬件描述语言(如VHDL)设计一个显示译码驱动器,即将要显示的字符译成8段码。由于FPGA有相当多的引脚端资源,如果显示的位数N较少,可以直接使用静态显示方式,即将每一个数码管都分别连接到不同的8个引脚线上,共需要8×N条引脚线控制.-FPGA-driven LED display: the use of hardware descr iption languages (such as VHDL) design a display decoder driver, ab
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:904
    • 提供者:王娟
  1. i2c

    4下载:
  2. IIC 接口EEPROM 存取实验(verilog实现) 按动开发板键盘某个键 CPLD 将拨码开关的数据写入EEPROM 的某个地址,按动另 外一个键,将刚写入的数据读回CPLD,并在数码管上显示。帮助读者掌握I2C 的总线协 议和EEPROM 的读写方法。-verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-14
    • 文件大小:63412
    • 提供者:梁旺
  1. FPGA_7279

    0下载:
  2. 基于FPGA的7279键盘数码管驱动,硬件实测,完美运行-FPGA-based digital control of the 7279 keyboard-driven, hardware measurement, perfect run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3144
    • 提供者:叶枫
  1. SEVSEG

    0下载:
  2. 简单的数码显示例子,初学非常好。。希望能帮助大家-simple digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:49008
    • 提供者:madc
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 ... 50 »
搜珍网 www.dssz.com