CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 数码

搜索资源列表

  1. digital_frequence_counter

    0下载:
  2. 设计功能: 1..用VHDL完成12位十进制数字频率计的设计及仿真。 2.频率测量范围:1Hz∼ 10KHz,分成两个频段,即1∼ 999Hz,1KHz∼ 10KHz,用三位数码管显示测量频率,用LED显示表示单位,如亮绿灯表示Hz,亮红灯表示KHz。 3.具有自动校验和测量两种功能,即能用标准时钟校验、测量精度。 4.具有超量程报警功能,在超出目前量程档的测量范围时,发出灯光和音响信号。 -Design features: 1. . Compl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:22189
    • 提供者:八毛
  1. 123

    0下载:
  2. VHDL电子时钟设计论文,利用一块芯片完成除时钟源、按键、扬声器和显示器(数码管)之外的所有数字电路功能。-VHDL design of e-paper clock, using a complete inter-chip clock source, buttons, speakers and monitors (digital control) than all the digital circuit functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:8356
    • 提供者:zheyu
  1. 6

    1下载:
  2. 4位数码扫描显示电路,我们控制一个七段LED需要8个输出端口;如果要输出四位十进制数,就需要32的输出端口,这将占用大量的端口资源。采用串行扫描显示,我们只需要8+4共12个端口即可。其原理是:用一个四位的输出端控制,某一时刻只选中其中的一个LED(输出为‘1’表示选中),八位的输出端将该LED所需要显示的值输出;然后四位的输出端值改变,选中下一个LED。这样依次类推。如果选择的频率很快,达到50Hz以上,由于人眼的视觉暂留效应,看起来就像4个LED同时显示。 设计一个程序,输入四个一位十
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3700
    • 提供者:李小勇
  1. traffic

    0下载:
  2. 本实验模拟路口的红黄绿交通灯的变化过程,用LED灯表示交通灯,并在数码管上显示当前状态剩余时间。 -- 红灯持续时间为30秒,黄灯3秒,绿灯30秒-traffic lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:191022
    • 提供者:zz
  1. ans

    0下载:
  2. 数字式竞赛抢答器 实现功能 1.四路抢答功能,带抢答超时和答题超时功能; 2.计分显示功能,每组对应两个数码管,能显示0-99的分值,复位初值为10。 -Digital Competition Responder features a realization. Quad Responder function, with time out and answer time-out function Responder 2. Scoring display, each corres
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:420681
    • 提供者:lhr
  1. total_game

    0下载:
  2. 用VHDL编写的小游戏,采用FPGA开发板,外接键盘和数码管可实现。 Quartus II上运行通过,并用FPGA实现。-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1802689
    • 提供者:余小倩
  1. DS18B20

    1下载:
  2. 本程序是基于VHDL语言,在EPM570上开发的温度传感器DS18B20驱动及数码管显示程序-This procedure is based on the VHDL language, developed in the EPM570 DS18B20 drive temperature sensor and digital control display program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-06
    • 文件大小:578560
    • 提供者:张衡星
  1. baweishumaguan

    0下载:
  2. 利用Verilog hdl语言编写的8位数码管程序,这对于那些刚学Verilog hdl语言的学习者来说,是不错的入门程序,特别程序里头的分频程序模块,谢谢支持。-Using Verilog hdl language of the eight digital control procedures, which for those just learning Verilog hdl language learners, is a good entry procedures, especially
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:382990
    • 提供者:梁均润
  1. counter

    0下载:
  2. 实现了从0到59的计数,并通过数码管动态显示,已在开发板上试验成功-Implements counting from 0 to 59, and through the dynamic display of digital control has been successfully tested in the development board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:225915
    • 提供者:顾婷婷
  1. S_81

    0下载:
  2. 内有8-3译码器,8位加法器,数字钟,数码显示,74ls138,8,4位计数器,d,rs触发器,加法器,交通灯等-There are 8-3 decoder, 8-bit adder, digital clock, digital display, 74ls138, 8,4 bit counter, d, rs flip-flops, adders, traffic lights, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:905672
    • 提供者:fsdf
  1. cpldkeyboard

    0下载:
  2. cpld利用学习机键盘输入数据,并在数码管显示出来,而且数码管显示位置可以选择-cpld use of learning machine keyboard input data and displayed in the digital control and digital display location option
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:158491
    • 提供者:胡顺章
  1. 3_8_DISPLAY

    0下载:
  2. vhdl实现3-8译码器,并通过7段数码管显示程序-vhdl decoder to achieve 3-8, and by 7 segment LED display program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:215242
    • 提供者:陈乾
  1. shumaguanxianshi

    0下载:
  2. vhdl语言实现的数码管显示控制程序段,可实现数码管的扫描显示-It is a displayer control sequence using VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1131
    • 提供者:
  1. DE0_SDRAM

    0下载:
  2. DE0开发板SDRAM测试程序,10为拨码开关作为数据写入SDRAM中存储,在读出用7段数码管显示-ALTERA DE0 SDRAM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-02
    • 文件大小:7825408
    • 提供者:柳春青
  1. adch

    0下载:
  2. 利用ADC0804对外部输入的模拟信号进行采用获取当前电压值,在数码管上显示-ADC0804 external input using analog signals used to obtain the current voltage value displayed on the digital control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2255
    • 提供者:韩旭
  1. jiaotongdeng

    0下载:
  2. 我们设计一个简单的十字路口交通灯。交通灯分东西和南北两个方向,均通过数码管和指示灯指示当前的状态。设两个方向的流量相当,红灯时间45s,绿灯时间40s,黄灯时间5s.-We design a simple traffic light intersection. West and East, and North-South traffic lights both directions, both through the digital control and the indicator indic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:28365
    • 提供者:shenlina
  1. seg7

    0下载:
  2. 了解七段数码管的原理,用VHDL语言来实现点亮七段数码管-Understand the principle of seven-segment digital tube, with the VHDL language to realize the seven-segment digital tube light
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:342192
    • 提供者:chalk
  1. 8X8LED

    0下载:
  2. 基于 qusrtus II上的 MAX ii 系列 FPGA上的数码管动态显示VHDL程序-Based on the MAX ii qusrtus II series FPGA, VHDL dynamic display of digital control program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:164507
    • 提供者:陈明风
  1. seg4_to_7

    0下载:
  2. 7段数码管译码器,在quartus里面实现,4为二进制数转换为7段数码管显示方式的二进制数-7 digital control decoder, which achieved in quartus, 4 for the binary number is converted to 7-segment digital display means of a binary number
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1366
    • 提供者:张道宁
  1. peter

    0下载:
  2. 七段数码管时钟动态显示 可显示 分秒时、并可以进行时分秒的加减设置-FUCK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:931
    • 提供者:Peter
« 1 2 ... 16 17 18 19 20 2122 23 24 25 26 ... 50 »
搜珍网 www.dssz.com