CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 方波

搜索资源列表

  1. ddfs

    0下载:
  2. 我自己用vhdl实现编的dds,能实现正弦波,方波,三角波。-my own use VHDL to achieve series dds, able sine, square, triangle wave.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:87754
    • 提供者:黎明
  1. wave_genarator_vhdl

    0下载:
  2. vhdl波形发生程序.实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 各种波形的线形叠加输出。 -vhdl waveform occurred procedures. 4 achieve common sinusoidal waveform, 1.30, sawtooth, square-wave (A, B) the frequency and amplitude control
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:10310
    • 提供者:江汉
  1. pwm_higt

    0下载:
  2. modelsim设计的可调占空比的方波程式-modelsim designed adjustable duty cycle of the square wave program
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1048
    • 提供者:yanfei
  1. verilogshejiMiLeJIEMAQI

    2下载:
  2. 用verilog设计密勒解码器 一、题目: 设计一个密勒解码器电路 二、输入信号: 1. DIN:输入数据 2. CLK:频率为2MHz的方波,占空比为50% 3. RESET:复位信号,低有效 三、输入信号说明: 输入数据为串行改进密勒码,每个码元持续时间为8μs,即16个CLK时钟;数据流是由A、B、C三种信号组成; A:前8个时钟保持“1”,接着5个时钟变为“0”,最后3个时钟为“1”。 B:在整个码元持续时间内都没有出现“0”,即连续16个时钟保
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:212699
    • 提供者:mingming
  1. DDS_Power

    0下载:
  2. FPGA上的VERILOG语言编程。通过查找表实现直接数字频率合成。在主控部分通过键盘选择正弦波,方波,三角波,斜波,以及四种波形的任意两种的叠加,以及四种波形的叠加;通过控制频率控制字C的大小,以控制输出波形频率,实现1Hz的微调;通过地址变换实现波形相位256级可调;通过DAC0832使波形幅值256级可调;通过FPGA内部RAM实现波形存储回放;并实现了每秒100HZ扫频。-FPGA on the verilog language programming. Lookup table thr
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:16232
    • 提供者:田世坤
  1. vhdl_buzzer

    1下载:
  2. 蜂鸣器实验 向蜂鸣器发送一定频率的方波可以使蜂鸣器发出相应的音调,该实验通过设计一个状 态机和分频器使蜂鸣器发出“多来咪发梭拉西多”的音调。-buzzer to buzzer this experiment certain frequency square wave can buzzer sounded a corresponding pitch. The experiment by designing a state machine and the buzzer sounded a d
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:217777
    • 提供者:赵海东
  1. DDS1

    0下载:
  2. DDS信号发生器,能产生多种波形,正玄波,三角波,方波,频率可调,相位可调
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1109433
    • 提供者:张俊
  1. box

    0下载:
  2. 用vhdl语言编写的基于fpga的波形发生器,使用了quartusII程序。可以在1602液晶显示器上显示目前的波形种类。产生的波形分别是正弦波,三角波,锯齿波和方波。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1707806
    • 提供者:zhg
  1. boxing

    2下载:
  2. 信号发生器由波形选择开关控制波形的输出, 分别能输出正弦波、方波和三角波三种波形, 波形的周期为2秒
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:994
    • 提供者:王军
  1. VerilogHDL_counter

    0下载:
  2. 采用Verilog HDL语言编写的数字频率计,被测波形分别为方波、三角波和正弦波;采用6个数码管显示结果,三档量程可调,工程价值很高,
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-18
    • 文件大小:1252536
    • 提供者:廖耿耿
  1. DDS_all

    0下载:
  2. 这个是相当不错的EDA编程,是电子设计大赛准备期间我引以为自豪的一个,能产生正弦,余弦,方波(可调占空比),三角波,锯齿波以及各种叠加波形,可以自行设置。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2235067
    • 提供者:谢飞
  1. 0522

    0下载:
  2. 自己今年的毕业设计DDS波形发生器,有正弦波,方波,三角波,锯齿波.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1375430
    • 提供者:dawn
  1. BasedonVHDLdesigndigitalfrequencyof

    0下载:
  2. 本文用VHDL在CPLD器件上实现一种8 b数字频率计测频系统,能够用十进制数码显示被测信号的频率,不仅能够测量正弦波、方波和三角波等信号的频率,而且还能对其他多种物理量进行测量。具有体积小、可靠性高、功耗低的特点。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:224979
    • 提供者:xiaoju
  1. onehehe

    0下载:
  2. verilog设计的4位频率计,可以测量方波、三角波、正弦波;测量范围10Hz~10MHz,测量分辨率1Hz,测量误差1 Hz;测量通道灵敏度50mv
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:382888
    • 提供者:oywj
  1. dds_bate4[1].1

    0下载:
  2. 在quartus软件下用VHDL语言实现DDS,可产生正弦,余弦,方波,三角波以及锯齿波。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1767876
    • 提供者:崔浩然
  1. VHDL

    0下载:
  2. 实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 各种波形的线形叠加输出。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:9404
    • 提供者:zhanyi
  1. 数字频率计实验报告

    0下载:
  2. 课程设计要求设计并用FPGA实现一个数字频率计,具体设计要求如下: 测量频率范围: 10Hz~100KHz 精度: ΔF / F ≤ ±2 % 系统外部时钟: 1024Hz 测量波形: 方波 Vp-p = 3~5 V 硬件设备:Altera Flex10K10 五位数码管 LED发光二极管 编程语言:Verilog HDL / VHDL-curriculum design and FPGA design to achieve a digital frequency meter,
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:144900
    • 提供者:
  1. vhdl

    1下载:
  2. 本设计中应用硬件描述语言Verilog HDL描述相位累加器,相位调制器,正弦波、方波、三角波、心电波形四个独立的波形存储器,并描述频率控制、相位控字、幅度控制单元及波形切换等相关的功能单元。-Application of the design described in Verilog HDL hardware descr iption language phase accumulator, phase modulator, sine, square, triangle wave, the fo
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-03
    • 文件大小:4254
    • 提供者:kelly
  1. pwm

    0下载:
  2. 此程序可用于产生正弦波、三角波、锯齿波、方波并仿真通过,采用LPM_rom-This program can be used to generate sine wave, triangle wave, sawtooth wave, square wave and the simulation by using LPM_rom
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1452376
    • 提供者:夜雨
  1. waveform_vhdl.zip

    0下载:
  2. 多功能波形发生器VHDL程序与仿真,正弦、三角、锯齿、方波vhdl 实现,Waveform vhdl Generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:11458
    • 提供者:jz
« 1 23 4 5 6 7 8 9 10 ... 13 »
搜珍网 www.dssz.com