CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 智能小车

搜索资源列表

  1. xiaoche

    0下载:
  2. 用VHDL编程的智能寻迹小车.驱动电机沿黑线运动,转弯的时候有灯显示.可以综合,实际硬件调试通过.是学习VHDL的很好实例-VHDL programming smart tracking.The car. Electric drives along the black line campaign turning the lights are shown. can comprehensive, practical hardware debugging through. learning is a
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:91938
    • 提供者:张岚
  1. CPLDxiaoche

    0下载:
  2. 智能机器小车主要完成寻迹功能,由机械结构和控制单元两个部分组成。机械结构是一个由底盘、前后辅助轮、控制板支架、传感器支架、左右驱动轮、步进电机等组成。控制单元部分主要由主要包含传感器及其调理电路、步进电机及驱动电路、控制器三个部分。本设计的核心为控制器部分,采用Altera MAX7000S系列的EPM7064LC84-15作主控芯片。CPLD芯片的设计主要在MAX+plusⅡ10.0环境下利用VHDL语言编程实现。驱动步进电机电路主要利用ULN2803作为驱动芯片。 -intelligent
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1369
    • 提供者:lili
  1. code

    0下载:
  2. 代码文件夹: ARVI_FSM.v为顶层文件,用于模拟时用。 dataHex.dat 为模拟输入文件(只有10行,象征的意思。实际我们模拟时,dataHex.dat文件足有1个多GB) dataFormat.dat为输入文件对应的带格式的文件 使用modelsim模拟时,将dataHex.dat名字改为CPUContext.txt 结果: result.txt -Code folder: ARVI_FSM.v for top-level documen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:31724
    • 提供者:Bell
  1. onePWM

    0下载:
  2. 发个智能车的舵机控制的例子。代码实现的功能是使小车自动左右拐弯-Made a smart car steering gear control example. Code to achieve the function is to enable automatic car turning around
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:835854
    • 提供者:jemofh
  1. che

    0下载:
  2. 智能小车寻迹控制程序!!!!何飞飞独家设计!-Smart car tracing control program! ! ! ! He Feifei exclusive design!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:559
    • 提供者:何飞飞
  1. car

    0下载:
  2. 这是一个基于FPGA语言下的智能小车运行的文件,大家可以看看!-This is a language based on FPGA operating under the Intelligent Vehicle file, we can see!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2650140
    • 提供者:liuzhi
  1. MSP430-car-solution-with-Proteland-source-code

    0下载:
  2. MSP430小车解决方案含Protel和源代码.包括电动车跷跷板,简易智能电动车,悬挂运动控制系统,自动往返电动小汽车。-MSP430 car solution with Protel and source code. Seesaw including electric cars, Mini Mental electric vehicles, suspension motion control system, automatic and from the electric car.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5591806
    • 提供者:冯浩
  1. [f

    0下载:
  2. 只能小车C8051,智能小车C8051的程序设置,有用的来看看
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:127337
    • 提供者:luozian
  1. demo4-keyled1

    0下载:
  2. 智能小车光电化算法,属于自动化行业的一些源程序-Smart car optical algorithms, source code belongs to some of the automation industry
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:225029
    • 提供者:王博
  1. PWM

    0下载:
  2. 飞思卡尔单片机实现PWM脉冲编码调制输出,应用于小型马达控制,智能小车-Freescale MCU PWM pulse code modulation output, used in small motor control, intelligent car
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:634
    • 提供者:刘海波
  1. fuzzy-control

    0下载:
  2. 智能循迹小车,能够实现智能循黑线,希望能有帮助-Intelligent tracking car, can be achieved through intelligent black line, hoping to help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:124843
    • 提供者:lee
  1. Cpld

    1下载:
  2. 本程序是用verilog语言在CPLD上实现智能小车控制部分,并通过并行通信,实现与单片机的通信。-This program is to control the robot car,and comunication with the C8051FXX by the Parallel communication.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:133369
    • 提供者:林夕
  1. 简单智能车VHDL实现

    0下载:
  2. FPGA实现智能车利用红外传感器使小车沿预定轨道平稳行驶,数码管显示障碍物与小车的距离,距离低于某值则停止运行
  3. 所属分类:VHDL编程

    • 发布日期:2013-09-28
    • 文件大小:1015851
    • 提供者:361yjs
  1. VERILOG-CAR-TEST

    3下载:
  2. 基于FPGA的Verilog语言的智能小车,已经经过测试。-FPGA-based smart car Verilog language, and has been tested.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2331
    • 提供者:华南
  1. car

    3下载:
  2. 基于Xilinx公司的ISE软件开发的智能循迹避障小车的源代码,用Verilog语言,传感器有红外传感器以及超声波传感器-Xilinx' s ISE-based software development intelligent car tracking avoidance source code, using Verilog language, the sensor has an infrared sensor and ultrasonic sensors
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-05-25
    • 文件大小:442368
    • 提供者:郭广宇
  1. code

    0下载:
  2. 实现了智能小车的行走功能,能够行走一个正方形后停止-Realized the smart car is the walking function, able to walk a square stop
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6266929
    • 提供者:周伟明
  1. 4-ADC模块

    1下载:
  2. ADC模块例程 适用于飞思卡尔电磁循迹小车等智能小车(ADC module routine is suitable for intelligent cars such as Freescale electromagnetic tracking car.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-01-12
    • 文件大小:7617536
    • 提供者:佳佳1111
搜珍网 www.dssz.com