CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 步进 速度

搜索资源列表

  1. codeofvhdl2006

    1下载:
  2. 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF触发器】、【计数器】、【分频器】、【寄存器】、【状态机】
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:44186
    • 提供者:senkong
  1. VerilogHDL_StepperMotor_control

    1下载:
  2. 采用Verilog HDL语言编写的步进电机位置系统,实现步进电机的定速、加速、减速、定位,且速率和加减速度都能做到连续可调的程序,对控制类相关的学习者价值很高
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-18
    • 文件大小:1468579
    • 提供者:廖耿耿
  1. HMI

    0下载:
  2. 人机界面控制步进电机,如角度,速度等。他用LCD显示,用键盘输入。-Man-machine interface control stepper motors, such as angle and speed. He used LCD display, keyboard input.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:98717
    • 提供者:mahaihong
  1. dujingdianji

    0下载:
  2. 步进电机控制器设计,可以实现步进电机正转和反转控制及其速度的控制,同时实现步数的控制。-Stepper motor controller designed to achieve the stepper motor control and forward and reverse speed control, while the number of steps to achieve control.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:102927
    • 提供者:李亚军
  1. bujindianji

    1下载:
  2. FPGA实现步进电机控制源代码。通过脉冲信号控制,产生一定频率脉冲的信号(脉冲频率用来控制速度),经过信号隔离放大(达到驱动电机的电压)来驱动控制步进电机-FPGA Implementation of stepper motor control source code. Controlled by the pulse signal, generating a frequency pulse signal (pulse frequency is used to control speed), vi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1015
    • 提供者:shiyongchao
搜珍网 www.dssz.com