CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 波形 wave

搜索资源列表

  1. top

    0下载:
  2. FPGA实现dds,可调频,任意波形,键盘输入。三角正弦锯齿波都有-FPGA realizing, can change the frequency, any DDS waveform, the keyboard input. The triangle sine sawtooth wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:2638
    • 提供者:YGG
  1. boxing

    0下载:
  2. 波形发生器,有选择模块 可以进行方波 正弦波 脉冲波-Waveform generator, a selection module can be square wave sine pulse
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:9973
    • 提供者:xuefj
  1. AD9850

    1下载:
  2. DDS直接数字频率合成AD9850源代码,能输出0到40M分辨率为1K的正弦波形。-DDS Direct Digital Synthesizer AD9850 source code, can output a resolution of 0 to 40M 1K sine wave.
  3. 所属分类:VHDL编程

    • 发布日期:2013-09-06
    • 文件大小:1312
    • 提供者:zhaosman
  1. quanjieqi

    0下载:
  2. 基于EDA开发系统箱上实现2位全减器的VHDL编程语言,附带波形仿真。-EDA development system based on the realization of two boxes full subtracter in VHDL programming language, with wave simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:347868
    • 提供者:小熊
  1. sin-two-90

    0下载:
  2. 基于FPGA模拟DDS产生两路互为90度得正弦波,以此为依据,可做频率,相位可调的多路波形发生器-FPGA-based simulation DDS generates two sine wave was 90 degrees each other, as a basis to do the frequency, phase adjustable multi-channel waveform generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:243737
    • 提供者:huangshaobo
  1. SPWM

    0下载:
  2. SPWM波形发生器,输出正弦波,占空比变化的-SPWM waveform generator, the output sine wave, duty cycle change
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1738294
    • 提供者:福星高照
  1. AD9954

    0下载:
  2. 设计背景:近年来现场可编程门阵列( FPGA) 技术得到了迅速的发展和广泛的应用, 其资源容量、工作频率以及集成度都得到了极大的提高, 使得利用FPGA 实现某些专用数字集成电路得到了大家的关注, 而基于FPGA 实现的直接数字频率合成器即DDS(Direct Digital Synthesizer)则更具其优点, 有着灵活的接口和控制方式、较短的转换时间、较宽的带宽、以及相位连续变化和频率分辨率较高等优点, 其也为设计者在此基础之上实现电路集成提供了另一种方法,同D/ A 转换器和低通滤波器(
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:75433
    • 提供者:吴飞飞
  1. sanjiao

    0下载:
  2. 已经是成品了,是三角波测量仪,可以测任意波形频率和幅值,占空比,并自带三角波发生器可以调幅度 0~100占空比 发生频率步进可调,绝对高精度, 里面还有报告-Is already finished, and is a triangle wave measuring instrument can measure the frequency and amplitude of any waveform, duty cycle, and comes with triangular wave genera
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7484912
    • 提供者:冯德就
  1. PWM

    0下载:
  2. 单片机产生PWM波,波的形转换,简单方便产生所需要的波形-Microcontroller generates PWM wave, wave shape conversion, simple to produce the required waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:21152
    • 提供者:wef
  1. bxfsq

    0下载:
  2. 实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 -Achieve four common sine wave, triangle, sawtooth, square wave (A, B) the frequency and amplitude controlled output (square wave- A duty cycle is contr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:10685
    • 提供者:李海平
  1. fenpin

    0下载:
  2. 利用单片机的计数器来制作简易分频计,因为P1^0用来模拟外界波形输入,它提供周期为100ms的方波。与T1管脚相连后,T1可对其进行周期计数。 程序中的变量TL1决定着分频系数,其值乘以2即为分频系数。 改变其值可以得到相应的分频输出波形(方波)。 P1^1为输出管脚,将其连接示波器可以看到分频后的波形。-To make use of simple single-chip counter frequency meter, because P1 ^ 0 input waveforms
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:951
    • 提供者:耙斗星
  1. MATLAB-and-verilog

    0下载:
  2. 1 采用正弦波,方波进行同步调制,实现调制信号、已调信号、解调信号的波形、频谱以及解调器输入输出信噪比的关系。 2 采用Verilog语言编写有符号的五位乘法器 3 实现数字与模拟调制-A sine wave, square wave synchronous modulation to achieve the modulation signal, the modulated signal, the demodulated signal waveform, spectrum and sig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:558995
    • 提供者:许学真
  1. dds813

    0下载:
  2. DDS波形发生器 正弦波 方波 锯齿波 调频 调相-DDS sawtooth waveform generator sine square wave frequency modulation phase modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:278168
    • 提供者:谢红奎
  1. fre3

    0下载:
  2. 基于FPGA直接数字式频率合成器,产生方波,三角波和正弦波,频率范围为1~20000HZ,并且可以与单片机连接,显示频率和波形-FPGA-based direct digital frequency synthesizer to generate a square wave, triangle wave and sine wave, frequency range of 1 ~ 20000HZ
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1588934
    • 提供者:张良哲
  1. xinhaofashengqi

    0下载:
  2. 信号发生器代码能实现正弦波方波锯齿波等波形-The program can realize sine wave of square wave sawtooth wave waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:12264
    • 提供者:韦荣铭
  1. 111

    0下载:
  2. 波形发生器,可产生方波、正弦波、三角波、锯齿波,并且频率可调-Waveform generator, can produce square wave, sine wave, triangle wave, the sawtooth wave, and the frequency adjustable
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:757
    • 提供者:zhanlingling
  1. The-SA4828--software-design

    0下载:
  2. 利用大规模专用集成电路SA4828 设计变频器,可以大大降低CPU 的资源占用,简化硬件电路和软件编程。通过对SA4828 进行初始化编程,可以方便地设定变频器的基本参数包括:载波频率、调制波频 率范围、死区时间、最小删除脉宽、看门狗时间常数、输出波形、频率、幅值、正反转控制等。实验表明,由SA4828 组成的变频器,电路简单,操作方便,运行稳定可靠。-Large-scale ASIC the SA4828 design inverter can greatly reduce the CPU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:129738
    • 提供者:
  1. DDS

    0下载:
  2. dds数字频率合成器,可用来产生不同频率的正弦波形用作正弦信号发生器-dds Can be used to generate the sine wave of different frequencies
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1145773
    • 提供者:焦艳华
  1. view_quartus_simu_on_matlab

    0下载:
  2. 在进行Quartus仿真时,由于直接用自带的仿真工具无法查看正弦波,将仿真数据另存为tbl格式,用Matlab的程序调用该tbl文件,即可观察波形。当然,利用Modelsim更好。-During Quartus simulation, waveform directly with their own simulation tools can not view the sine wave, Save the simulation data for the tbl format, using the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:679
    • 提供者:
  1. poc

    0下载:
  2. verilog 写的POC接口代码。测试波形功能通过。内有波形模拟CPU以及仿真文件。-A poc module written by verilogHDL.Can be used in communicating with MCUs. The simulate wave file is already inside.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:219681
    • 提供者:王润
« 1 2 3 4 56 7 8 9 »
搜珍网 www.dssz.com