CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 流水灯

搜索资源列表

  1. water-lamp

    0下载:
  2. 这是关于流水灯的vhdl程序,功能是8个led灯间隔1s交替变亮-This is a light water vhdl program, 8 led light interval 1s alternately brighten
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:4096
    • 提供者:仝侨
  1. liushuideng

    0下载:
  2. FPGA基础实验8位流水灯,基于VHDL语言写的!-8 FPGA basis of experimental light water, based on VHDL write!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-05
    • 文件大小:705
    • 提供者:蔡志栋
  1. light_water

    0下载:
  2. 用VHDL语言编写的花样流水灯,有4种花样-With the pattern light water VHDL language, there are four kinds of tricks
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:329012
    • 提供者:陈星星
  1. zedboard_water_flow

    0下载:
  2. xilinx的刚出板子zedboard,资料不是很多。网上也有些人做出了一些Demo。但作为新手,在操作过程中会出现各种问题。这是我做的一个Demo 的源码,和前辈一样,做了个流水灯的实验。-of xilinx' s just left the board zedboard, information is not a lot. Online some people make some Demo. However, as a novice, during operation there wi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-04
    • 文件大小:4313583
    • 提供者:zhaojkun
  1. led

    0下载:
  2. 实现流水灯的设计,在ISE8.2下进行开发!芯片为virtex4-Design of light water
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:581611
    • 提供者:冯丽洋
  1. liushuideng

    0下载:
  2. 基于fpga的sopc系统实现流水灯的软件代码-The fpga based sopc system software code for light water
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-28
    • 文件大小:649
    • 提供者:张慧霞
  1. liushuideng

    0下载:
  2. 利用system generator生成的流水灯verilog代码,matlab的model文件也在其中。在spartan3A上验证通过-The verilog code system generator to generate light water Matlab model file also. Spartan3A on validation by
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:506050
    • 提供者:侯松岩
  1. DE2开发板的简单nios实验

    0下载:
  2. 一个基础的FPGA的实验,包括sopc搭建硬件平台到用NIOS II软件编写控制程序。本实验是基于DE2开发板做的,可直接下载入片内观察到流水灯的现象。
  3. 所属分类:VHDL编程

  1. 8255A

    1下载:
  2. 8255a 做输入输出作用,LED流水灯操作-The Harris 82C55A is a high performance CMOS version o the industry standard 8255A and is manufactured using self-aligned silicon gate CMOS process (Scaled SAJI IV).
  3. 所属分类:Driver develop

    • 发布日期:2017-11-28
    • 文件大小:259819
    • 提供者:zxc
  1. light

    0下载:
  2. VHDL的简单流水灯程序,实现八段数码管从中间到两边再从两边到中间依次点亮-VHDL simple light water program, eight digital tube from the middle to both sides from both sides to the middle followed by light
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:569
    • 提供者:lijiaxi
  1. anjianliushuideng

    0下载:
  2. 按键流水灯程序 cpld语言 程序包括源程序和图还有所建立的项目-Button light water program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-06
    • 文件大小:105582
    • 提供者:
  1. nios_led

    0下载:
  2. 一个基础的FPGA的实验,包括sopc搭建硬件平台到用NIOS II软件编写控制程序。本实验是基于DE2开发板做的,可直接下载入片内观察到流水灯的现象。-A FPGA-based experiments, including sopc build the hardware platform to write NIOS II software control program. The experiment is based DE2 development board, observed the p
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:7170716
    • 提供者:张莉
  1. LED

    0下载:
  2. 在FPGA平台上实现流水灯设计,采用原理图输入的方式,显示不同花样的流水灯,并且可以自动切换。-Light water design on an FPGA platform, using schematic entry, display different patterns of light water, and can automatically switch.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:571189
    • 提供者:jwshi
  1. lsd

    0下载:
  2. 本实验采用fpga控制流水灯,采用ROM存储数据,通过计数器调用数据,产生跑马灯-The experimental fpga control light water ROM to store data, call data generated by a counter Marquee
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-05
    • 文件大小:722889
    • 提供者:卢广昌
  1. led_liushuideng

    0下载:
  2. 中途变速且花型丰富的LED流水灯,可直接在源程序里继续添加语句让花型更丰富-Midway variable speed and flowers rich LED light water can continue to add in the source statement flowers richer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:375995
    • 提供者:张小栗
  1. fp_prj

    0下载:
  2. 这是自己编写的一个流水灯程序 通过修改cs的值可实现方向的翻转 但是没有接入案件功能 需要的同学可自行添加 使用quartus12编译 modelsim10.1仿真-This is a program I have written a light water can be achieved by modifying the value of cs direction flip but no access cases feature requires students own add use qu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-01
    • 文件大小:2103407
    • 提供者:李之如
  1. led

    0下载:
  2. 基于xc4vsx25芯片的verilog语言程序,用于实现流水灯功能,包含源程序代码及管脚设置文件,本程序均通过调试,可于SEED-XDTK_V4实验箱上实现。-Verilog language program based on xc4vsx25 chips for light water features, including source code and pin settings file, the proceedings were conducted by debugging can b
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:1153
    • 提供者:徐世超
  1. exp2

    0下载:
  2. 流水灯的实验,正转反转等功能,实现流水灯顺序亮灭。-Light water experiments, are forward reverse function, water lamp light off sequentially. .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:53425
    • 提供者:zhaorongjian
  1. led

    0下载:
  2. 各种LED的控制程序,有流水灯,跑马灯等等等,对初学者有一定的用处-LED control program, water lights, marquees, etc., etc., and have a certain usefulness for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:2191036
    • 提供者:zhaorongjian
  1. liushuideng

    0下载:
  2. 应用VHDL语言实现FPGA的编程,实现流水灯功能。-Application VHDL language for FPGA programming, light water feature.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:240607
    • 提供者:秦丽媛
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 ... 20 »
搜珍网 www.dssz.com