CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 流水灯

搜索资源列表

  1. PINPAN

    1下载:
  2. 乒乓游戏 ,led流水灯控制乒乓球,按键控制甲方已方操作。详细说明看readme-ping-pong game, led lights to control water table tennis, has been chosen to control keys to operate. Details see readme
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4441
    • 提供者:张建
  1. ledwater

    0下载:
  2. 利用xilinx,完成流水灯发光二极管显示,
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:41534
    • 提供者:haolj
  1. EP2C5Q208

    0下载:
  2. 以cyclone系列的EP2C5Q208为核心的实验板程序.包括流水灯,I2C存储器.SPI存储器,数码管,串口,LCD等
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2980969
    • 提供者:sarah
  1. 080505_vga

    0下载:
  2. 流水灯,用七段数码管代替LED灯,流水间隔1秒,数码管显示“门”
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:250383
    • 提供者:王传辉
  1. LEDWATER

    0下载:
  2. 流水灯 Verylog编写的 Quartus II平台的简单设计实例 附仿真波形
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:94148
    • 提供者:许东滨
  1. flowled

    1下载:
  2. FPGA开发入门的Verilog HDL程序---流水灯,真实可用,验证通过,工程环境为Altera Quartus
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:193407
    • 提供者:任勇
  1. ledwater

    0下载:
  2. dp_xiliux 的 CPLD Verilog设计实验,流水灯演示.代码测试通过.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:42077
    • 提供者:pp
  1. ledtest

    0下载:
  2. 用于测试ACEX1k30的流水灯程序,晶振频率为20mhz。运行环境Maxplus2-for testing the water ACEX1k30 lights procedures, the frequency of 20MHz crystal oscillator. Operating environment FLEX10K
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:127569
    • 提供者:闪核
  1. FPGA流水灯Verilog

    0下载:
  2. 所属分类:VHDL编程

    • 发布日期:2010-03-24
    • 文件大小:321138
    • 提供者:sunwen550
  1. clock.rar

    0下载:
  2. 具有流水灯报点的数字钟实验 含有报告,用VHDL编写,Water at point of light with the number of minutes containing the report of the experiment, prepared by VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:49959
    • 提供者:alan
  1. ledyyw

    0下载:
  2. 用VHDL实现流水灯,配置管脚,并在FPGA板上得到实现-VHDL implementation of water with the lights, configuration pins, and realized in FPGA board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:217295
    • 提供者:严余伟
  1. led

    0下载:
  2. 基于fpga的流水灯仿真以及代码。 包含了整个过程。本人刚刚做过程序在quarter2下仿真成功! -The water-based light simulation and fpga code. Includes the whole process. I just did the program under emulation in quarter2 success!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:176510
    • 提供者:蒋翔
  1. liushuideng

    0下载:
  2. 本实验为LED流水灯实验. 本实验为LED流水灯实验.-In this study, experiments for the LED lights running water. This experiment LED water lamp experiment. This experiment LED water lamp experiment.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1046
    • 提供者:
  1. LEDtest

    0下载:
  2. VHDL语言实现流水灯,通过按键控制显示方向,流水快慢-VHDL language flow lights show through the key control direction, flow speed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:410621
    • 提供者:朱传雨
  1. liushuideng

    0下载:
  2. 本程序是用VHDL编写的一个流水灯程序,虽然简单,但对于初学者一定很有帮助。-The program is written in a flowing light VHDL program, although simple, but certainly very helpful for beginners.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:246162
    • 提供者:赵城
  1. led_shift

    0下载:
  2. 本程序代码实现了FPGA中的流水灯功能,可以控制向左还是向右循环点亮发光二极管。-The program code to achieve the water lights in the FPGA, you can control the left or right loop light emitting diode.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:418684
    • 提供者:王超
  1. 8_LigWater

    0下载:
  2. FPGA,VHDL语言 :分频1S 8位流水灯,适用于所有FPGA芯片,VHDL源程序-FPGA, VHDL language: divide-1S 8 light water, and apply to all FPGA chip, VHDL source code! !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-09
    • 文件大小:453665
    • 提供者:李诚
  1. 123

    0下载:
  2. 基于quartus的,状态机实现流水灯,verilog HDL语言编写-Quartus-based, the state machine to achieve water lights, verilog HDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:235546
    • 提供者:sky
  1. 流水灯

    0下载:
  2. 利用FPGA控制简单LED灯的熄灭和点亮(Using FPGA to control the extinction and lighting of a simple LED lamp)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:308224
    • 提供者:朽木生
  1. vhdl流水灯

    0下载:
  2. quartus编写的vhdl流水灯程序,包括六种模式循环播放
  3. 所属分类:VHDL编程

« 1 23 4 5 6 7 8 9 10 ... 20 »
搜珍网 www.dssz.com