CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 流水灯

搜索资源列表

  1. water_led_design

    0下载:
  2. 一个项目吧,但是结构很完整,基本上都是必须的部分了,虽说只是流水灯-A project, but the structure is complete and basically essential part, although only light water
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:42978
    • 提供者:磨国钰
  1. liushuideng

    0下载:
  2. 通过使用VHDL程序语言的编写实现流水灯的功能-By using VHDL program language programming to realize the function of light water
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:282127
    • 提供者:张双锋
  1. liushuideng

    0下载:
  2. 使用System Generator建立一个Xilinx FPGASpartan6的流水灯实验。这个博客上有详细的说明。 http://www.openhw.org/wenlong0601/blog/12-02/238496_e3f50.html-Using System Generator to create a Xilinx Spartan6 light water experiment. Are described in detail on this blog. http://www.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:447156
    • 提供者:张文龙
  1. water-light

    0下载:
  2. 流水灯,很棒的,给大家一点启发的,很基础,大家看看的-Water lights, great, give you some inspiration, it is the foundation, we look at
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:22863
    • 提供者:王刚
  1. LED

    0下载:
  2. fpga中实现简单的led灯控制,包括流水灯等一系列的程序,帮你玩转led-led control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1651539
    • 提供者:万云
  1. LED

    0下载:
  2. 基与FPGA芯片的流水灯,芯片为EP2 c5T-the flowing LED in FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:272241
    • 提供者:王义林
  1. PWM1

    0下载:
  2. 一种简单的PWM VHDL实现方法,脉宽可调,周期可调,可作二维流水灯控制练习使用-A simple PWM VHDL, pulse width adjustable cycle adjustable, can be used for two-dimensional water light control practice using
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:958
    • 提供者:林小卫
  1. _4_water_led

    0下载:
  2. verilog实例4 water_led 流水灯 (1)源文件 water_led.v (2)管脚分配 pins list.txt -4 water_led 流水灯 (1)源文件 water_led.v (2)管脚分配 pins list.txt
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:42434
    • 提供者:李程序
  1. btn_led_1

    0下载:
  2. cyclone2-xp开发板的蜂鸣器变频变频音乐,流戏流水灯程序源码,流歌(liuger)开发板光盘上的-cyclone2-xp development board buzzer variable frequency inverter music, streaming play of light water program source code, flow (liuger,) on the development board CD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:909
    • 提供者:wind
  1. 001-P1.0LED

    0下载:
  2. 单片机流水灯程序,是新手的好帮手,希望能对你有帮助!- Flowing water light microcontroller program, is new good helper, the hope can help you
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:813
    • 提供者:王东庭
  1. 004-LED

    0下载:
  2. 单片机流水灯程序,外加数码管显示,灰常好的程序-Flowing water light microcontroller program, plus digital pipe display, grey ChangHaoDe program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1180
    • 提供者:王东庭
  1. led

    0下载:
  2. 这工程主要是用于对流水灯的控制。用的是FPGA语言编写的-this progam is use for led control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:301215
    • 提供者:wang
  1. LSLED

    0下载:
  2. 运用VHDL语言实现流水灯的完整程序设计-VHDL LED
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1743940
    • 提供者:周慧
  1. led2

    1下载:
  2. nios ii 流水灯源程序,采用quartus ii 11.0,nios ii 11.0,qsys构建CPU,由本人亲自编写,并下载至电路板验证流水灯成功-nios ii water lights, quartus ii 11.0 nios ii 11.0 qsys build the CPU, I personally prepared and downloaded to the board verification of light water
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:8007093
    • 提供者:王超
  1. lsd

    0下载:
  2. VHDL流水灯测试通过,对初学者非常好的帮助。-The VHDL light water testing by the very good help for beginners.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:260876
    • 提供者:hsj
  1. T0424_auto_double

    0下载:
  2. 双核独立cpu分别控制流水灯(使用DE1开发板)(FPGA)-Dual-core independent CPUs control the water lights (using the DE1 development board)(FPGA)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-30
    • 文件大小:12623957
    • 提供者:xuting
  1. wled

    0下载:
  2. 流水灯的设计与实现,通过控制各个 位的值来控制七个灯的交替闪亮,效果比较好,给初学者分享!-water light design !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:260142
    • 提供者:sunjunfeng
  1. led-coruscate

    0下载:
  2. 用fpga控制4路led流水灯,实现跑马灯等功能-4-way LED light water
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:3050918
    • 提供者:李林
  1. LED

    0下载:
  2. 流水灯设计原则以及源代码的编写,主要在器件上实现CPLD-Flowing water light design principle and the writing of the source code, mainly for the CPLD device
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:723
    • 提供者:赵海朝
  1. PS2_keyboard_driver

    0下载:
  2. verilog写的键盘按键扫描接口,并在7段数码管上显示断码和通码,在LED流水灯上实现滚动显示。想学习PS2键盘扫描这块的童鞋可以下载看看,代码写的还行。-verilog to write a keyboard key scan interface displayed on the 7-segment digital tube broken code and pass code, scrolling display on the LED light water. Want to learn a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:498280
    • 提供者:
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 20 »
搜珍网 www.dssz.com