CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 测量

搜索资源列表

  1. 8位数字频率计

    0下载:
  2. 数字频率计~ VHDL 实现 可以实现频率的测量和现实的功能 8位-digtal frequency tester (use vhdl) can be used to test frequency (8bit)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:658119
    • 提供者:熊明
  1. plj

    0下载:
  2. 程序用VHDL实现: 利用一秒定时测量频率 并且显示,范围0~-VHDL 0~
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:137191
    • 提供者:刘赛
  1. 双路脉冲发生器(veralog)

    0下载:
  2. Verilog HDL 程序 双路脉冲发生器的代码 包含了键盘控制,LED显示,脉冲发生,脉冲频率测量模块 是我自己写得,希望能对你有帮助,有问题可以mail:shaojunwu1@163.com-Verilog HDL dual-channel pulse generator procedure code includes a keyboard control, LED display, pulse, pulse frequency measurement module is wr
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4210
    • 提供者:邵君武
  1. 脉冲记时CPLD

    0下载:
  2. 工作原理: 脉冲输入,记录30个脉冲的间隔时间(总时间),LED显示出来,牵涉到数码管的轮流点亮,以及LED的码。输入端口一定要用个 74LS14整一下,图上没有。数码管使用共阴数码管。MAXPLUS编译。 测试时将光电门的信号端一块连接到J2口的第三管脚,同时第一管脚为地,应该与光电门的地连接(共地)。 开始测试: 按下按键,应该可以见到LED被点亮,指示可以开始转动转动惯量盘,等遮光片遮挡30次光电门后, LED熄灭,数码管有数字显示,此为时间值,单位为秒,与智
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:644978
    • 提供者:高颖峰
  1. pinlvji

    1下载:
  2. 本频率计具有测周、测频、测量占空比等基本功能,能自动换档,误差为1%-the frequency meter is measuring weeks, frequency measurement, measuring the ratio of the basic functions can automatically shift error of 1%
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:654864
    • 提供者:马忠志
  1. TLC5510APhase

    0下载:
  2. 运用TLC5510A高速(20M),扫描出波形,测量相位差,两个TLC5510A测两个波形. -TLC5510A use of high-speed (20M), scanning waveform, phase difference measurement, Measuring 2 2 TLC5510A waveform.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:930247
    • 提供者:张春龙
  1. pinlvji

    0下载:
  2. 基于FPGA的数字频率计,超大范围测量,误差非常之小,内含详细程序-FPGA-based digital frequency meter super scope of measurement, the error is very small, containing detailed procedures
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:45436
    • 提供者:刘嵘
  1. 9.4_PULSE_FRE

    2下载:
  2. 基于Verilog-HDL的硬件电路的实现 9.4 脉冲频率的测量与显示   9.4.1 脉冲频率的测量原理   9.4.2 频率计的工作原理   9.4.3 频率测量模块的设计与实现   9.4.4 while循环语句的使用方法   9.4.5 门控信号发生模块的设计与实现   9.4.6 频率计的Verilog-HDL描述   9.4.7 频率计的硬件实现 -based on Verilog-HDL hardware Circuit of
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2463
    • 提供者:宁宁
  1. 9.5_PULSE_WIDTH

    0下载:
  2. 基于Verilog-HDL的硬件电路的实现 9.5 脉冲周期的测量与显示   9.5.1 脉冲周期的测量原理   9.5.2 周期计的工作原理   9.5.3 周期测量模块的设计与实现   9.5.4 forever循环语句的使用方法   9.5.5 disable禁止语句的使用方法   9.5.6 时标信号发生模块的设计与实现   9.5.7 周期计的Verilog-HDL描述   9.5.8 周期计的硬件实现   9.5.9 周期测
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4989
    • 提供者:宁宁
  1. 9.6_PULSE_Level

    0下载:
  2. 基于Verilog-HDL的硬件电路的实现 9.6 脉冲高电平和低电平持续时间的测量与显示   9.6.1 脉冲高电平和低电平持续时间测量的工作原理   9.6.2 高低电平持续时间测量模块的设计与实现   9.6.3 改进型高低电平持续时间测量模块的设计与实现   9.6.4 begin声明语句的使用方法   9.6.5 initial语句和always语句的使用方法   9.6.6 时标信号发生模块的设计与实现   9.6.7 脉冲高低电平持续
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5359
    • 提供者:宁宁
  1. D_f_apparatus

    0下载:
  2. 频率测量和周期测量的基本方法是采用以固定时钟作为参考时钟,分别测量单个周期的计数为周期,单位时间的计数为频率。但是由于被测信号的频率不同,测量精度会发生变化,采用低频测量周期,高频测量频率,然后分别求倒数,便可得到对应的频率和周期-frequency measurement and measurement cycle is the basic method used to a fixed clock as a reference clock, measured single cycle to c
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:102414
    • 提供者:送水的
  1. sampleVHDL

    0下载:
  2. 采样等精度测量的VHDL程序..在xilinx ISE 8.1上验证通过-sampling and other precision measurement of VHDL program. . In xilinx ISE tested through 8.1.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:123595
    • 提供者:罗辉
  1. Freq_counter

    0下载:
  2. 本代码介绍了使用VHDL开发FPGA的一般流程,最终采用了一种基于FPGA的数字频率的实现方法。该设计采用硬件描述语言VHDL,在软件开发平台ISE上完成,可以在较高速时钟频率(100MHz)下正常工作。该设计的频率计能准确的测量频率在1Hz到100MHz之间的信号。使用ModelSim仿真软件对VHDL程序做了仿真,并完成了综合布局布线,最终下载到芯片Spartan-II上取得良好测试效果。-the code on the FPGA using VHDL development of the
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:514889
    • 提供者:许的开
  1. frequency

    0下载:
  2. 显示频率测量,外接24MHz晶振,显示数据为三位,分四个档来测量-show frequency measurement, external 24MHz crystal oscillator, the data show that three, four hours to measure stalls
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-15
    • 文件大小:16478
    • 提供者:李军
  1. Ymeasure

    2下载:
  2. 基于FPGA的相位测量原理图,通过对正弦信号过零比较进入FPGA,测量相位差。可用于测量导纳等应用中。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8962
    • 提供者:赵培立
  1. fosc

    0下载:
  2. xilinx实现4位频率计,可测量从1HZ到9999HZ的频率信号,并将被测的信号频率输出显示到实验仪的数码管上。
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:88161
    • 提供者:haolj
  1. gwdvpb

    0下载:
  2. 利用等精度测量原理,通过FPGA运用VHDL编程设计一个数字式频率计 设计具有较高的实用性和可靠性
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1538
    • 提供者:tangjia
  1. FR

    1下载:
  2. 基于FPGA的数字频率计的设计,可测量从1hz到10000hz,误差在1hz以内,是EDA课程学习很好的实例。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1172
    • 提供者:彭得
  1. EDAdesign(4)

    0下载:
  2. 该文件中是关于一些VHDL许多编程实例以及源码分析,希望对VHDL爱好者有用。卷4包括低频数字相位测量仪、电压控制LC振荡器
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1815419
    • 提供者:shengm1
  1. temperature

    0下载:
  2. 基于VHDL控制的DS18B20温度测量程序,精确到小数点后两位,在实验板上通过;
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2450
    • 提供者:liao
« 1 23 4 5 6 7 8 9 10 ... 16 »
搜珍网 www.dssz.com