CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 滤波器

搜索资源列表

  1. FIR低通滤波器部分模块

    0下载:
  2. 一个FIR低通滤波器,最小阻带衰减-30db,带内波动小于1db.用MAXPLUS2设计与仿真。-This is a FIR LPF, with -30dB in stop-band and sigma is less than 1dB. It is designed and simulated on MAXPLUS2.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5244
    • 提供者:吴健宇
  1. fir滤波器设计

    1下载:
  2. 详细介绍了,给予FPGA设计fir滤波器,里面有详尽的VHDL代码。
  3. 所属分类:VHDL编程

    • 发布日期:2009-04-14
    • 文件大小:1031790
    • 提供者:rewangjian
  1. IS-95/CDMA2000基带成形滤波器的实现

    0下载:
  2. IS-95/CDMA2000基带成形滤波器的实现 IS-95滤波器的实现: 本次设计采用转置型结构,并用展开技术将字串行架构转换成字并行处理架构,从而提高运行的速度。本次设计中采用展开因子J=4的展开转换技术。设输入数据为filter_in,输出数据为filter_out,则其展开因子J=4的并行处理系统如下图所示 ,IS-95/CDMA2000 base-band filter shaping to achieve IS-95 filter to achieve: the desig
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-24
    • 文件大小:91398
    • 提供者:
  1. fir_hdl.rar

    1下载:
  2. 一个 FIR 滤波器的 verilog 实现, 与 matlab 产生的 reference code 相互验证。,Verilog a FIR filter to achieve, with the reference code generated by matlab mutual authentication.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:96934
    • 提供者:wei
  1. filter_verilog.rar

    0下载:
  2. 用verilog实现的低通滤波器,输入输出精度为64位,并附有测试程序。,Use verilog to achieve a low-pass filter, input and output accuracy of 64, together with testing procedures.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:83336
    • 提供者:周峰
  1. fir.rar

    1下载:
  2. fir滤波器,Verilog语言写的,容易看懂,fir filter, Verilog language written in easy to understand
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-08
    • 文件大小:1606
    • 提供者:王刚
  1. CIC.rar

    0下载:
  2. cpld/fpga积分梳状滤波器(CIC)设计,cpld/fpga Integral comb filter (CIC) design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1311
    • 提供者:陈臣
  1. filter

    0下载:
  2. 如何利用verilog设计数字滤波器 包含低通滤波器,带通滤波器,高通滤波器.-how to design a digit filter with Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3245110
    • 提供者:jefferson
  1. fir_16

    0下载:
  2. fir滤波器-verilog,基于verilog的fir滤波器源码-fir filter-verilog, the fir filter based on the Verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:742835
    • 提供者:zhc
  1. iir

    1下载:
  2. 基于verilog HDL的IIR数字滤波器的实现-Verilog HDL-based implementation of the IIR digital filter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-06-15
    • 文件大小:4096
    • 提供者:
  1. FIR

    0下载:
  2. FIR结构数字滤波器,64阶。在Altera FPGA上验证通过-FIR digital filter structure, 64 bands. Verified by the Altera FPGA on the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:4779
    • 提供者:蓝晶
  1. farrow

    0下载:
  2. 通信中常用的Farrow滤波器的Verilog实现-Communications of the Farrow filter used in the realization of the Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:618
    • 提供者: 王王
  1. verilog-example

    1下载:
  2. 4位并行乘法器 4位超前加法器 ALU 计数器 滤波器 全加器 序列检测器 移位器-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:5744
    • 提供者:向死而生
  1. fir

    0下载:
  2. verilogHDL编写的低通滤波器模块,在ISE软件中仿真过-verilogHDL prepared by low-pass filter module, in the ISE simulation software have been
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:142266
    • 提供者:李晶
  1. 65filter

    0下载:
  2. 65位FIR数字滤波器的设计~~其中有通过仿真得出得数据 ~可以通过数据输入完成滤波实验~对数字滤波器得整个算法进行了分析包括输入分组相加 然后相乘得过程-65 FIR digital filter design ~ ~ with simulation data to come in through the importation of data from experiments completed filtering of the digital filter in the whole alg
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:2972
    • 提供者:凌燕
  1. 16_FIR

    0下载:
  2. 16阶FIR滤波器--本设计用VERILOG HDL语言串行DA算法实现16阶有限频率响应滤波器!-16-order FIR filter- this design language VERILOG HDL serial DA algorithm limited frequency response of 16-order filter!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:799511
    • 提供者:yuming
  1. median

    1下载:
  2. 用verilog编辑的中值滤波器!语言旁表有注释方便理解!-Using Verilog editor median filter! Language beside the table annotated to facilitate understanding!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-05
    • 文件大小:1775543
    • 提供者:yuming
  1. fir_Verilog

    1下载:
  2. 用Verilog编写的fir滤波器程序!-Verilog prepared using the procedure fir filter!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:5851
    • 提供者:yuming
  1. FIR滤波器

    0下载:
  2. STM32f407 DSP库应用 FIR滤波器 用示波器测试PA8,可以测出1Khz的正弦波。如果不是,修改PWM参数,使其正好为1Khz.(STM32f407 DSP library uses FIR filter Oscilloscope PA8 test, you can measure the sine wave of 1Khz. If not, modify the PWM parameter to make it exactly 1Khz.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-11
    • 文件大小:24194634
    • 提供者:jack5117
  1. 滤波器实验报告

    1下载:
  2. 设计一个 1MHz 的 FIR 低通滤波器。 要求: ① 时钟信号频率 16MHz; ② 输入信号位宽 8bits,符号速率 16MHz ③ 要求在 Matlab 软件中进行 FIR 滤波器浮点和定点仿真,并确定 FIR 滤波器抽头系数 ④ 写出测试仿真程序。(Design a 1MHz FIR low pass filter. Requirements: (1) clock signal frequency 16MHz; (2) input signal bit width
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-06-10
    • 文件大小:342016
    • 提供者:羊羊驼
« 12 3 4 5 6 7 8 9 10 ... 37 »
搜珍网 www.dssz.com