CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 灯 检测

搜索资源列表

  1. trrafficlight

    0下载:
  2. (1)当乡村公路无车时,始终保持乡村公路红灯亮,主干道绿灯亮。 (2)当乡村公路有车时,而主干道通车时间已经超过它的最短通车时间时,禁止主干道通行,让乡村公路通行。主干道最短通车时间为25s 。 (3)当乡村公路和主干道都有车时,按主干道通车25s,乡村公路通车16s交替进行。(4)不论主干道情况如何,乡村公路通车最长时间为16s。 (5)在每次由绿灯亮变成红灯亮的转换过程中间,要亮5s时间的黄灯作为过渡。 (6)用开关代替传感器作为检测车辆是否到来的信号。用红、绿、黄三种颜色的
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-16
    • 文件大小:2148
    • 提供者:Richard
  1. cd

    0下载:
  2. 通过在进程1中检测时钟上升沿,循环累加,触发进程2,一次输出高电平,使灯发光-1 in the process of testing the clock rising edge, cycle accumulate, triggering the process of 2, a high output, so that LED lamp
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1022
    • 提供者:张力
  1. DE2_LED_ON

    0下载:
  2. 一个简单的led闪烁程序,检测DE2学习板的led灯,用verilog语言编写-A simple blinking led program to petect learning DE2 board led lights, with the verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:277898
    • 提供者:Sean Zhong
  1. Traffic_Light_Controller

    0下载:
  2. 该控制器在设计上控制了一个繁忙的高速公路(高速路的红绿灯) 相交一岔路(SRD)等具有相对较轻的交通负荷。图1显示 在交通灯的位置。在十字路口传感器检测汽车的存在 在公路上和岔路。该图意味着,无论是公路和副作用 道路提供每个方向的交通单一车道。这两个普通的道路(红, 黄,绿)信号灯。交集装有一个传感器。-The controller to be designed controls the traffic lights of a busy highway (HWY) inter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:16156
    • 提供者:jimmy sia
  1. LEDtest

    0下载:
  2. vhdl 实现fpga 闪灯控制 流水线闪灯 还用signalTAP进行检测,给初学者参考-vhdl fpga flash control lines to achieve flash is also used signalTAP testing, to advanced users
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:805490
    • 提供者:adam
  1. digit-traffic-light

    0下载:
  2. 某交通管理部门要求,交通灯要求主干道绿灯至少保持2分钟(其中不包括绿灯闪烁时间),在此前提下,当支路检测到有车或人时,主干道绿灯闪烁3秒,然后黄灯持续亮3秒,红灯再亮,同时支路绿灯亮,红灯灭。当支路连续5秒检测不到车和人时,支路绿灯闪烁3秒,然后黄灯持续亮3秒,红灯再亮,同时主干道绿灯亮,红灯灭,支路绿灯最长持续20秒(不包括绿灯闪烁时间)。若从绿灯闪烁开始禁止支路上行人和车辆通行,则可保证主干道禁止通行的最长时间为26秒。支路可通过仪器来检测有无车辆和行人,对于行人,由于其不定性,需要设置一些
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:207686
    • 提供者:jamesheller
  1. Net1

    0下载:
  2. 适合检验DE2版进行局域网传输检测的小程序。服务器电脑发出指令,通过局域网控制连接到网络的DE2点亮或关闭一盏LED灯-a VB test programme to test the pc send message to control the led on the De2 board through local net
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:12021
    • 提供者:张无忌
  1. Traffic_lights

    0下载:
  2. 基于VHDL语言的交通灯程序。有车辆检测程序。-Based on VHDL Language traffic light program. Vehicles testing procedures.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:427966
    • 提供者:shaojun
  1. nios2_ucos2

    0下载:
  2. 基于Altera的FPGA配置的Nios2软核,移植了uC/OS2操作系统。实现的功能包括1602字符液晶驱动,基于中断的4*4矩阵键盘检测,流水灯。所有C文件位于\software\nios2_hello_ucosii目录下。 -Embedded Nios2 System based on Altera s FPGA, with uC/OS2 RTOS transplanted. Function included: 1602 character LCD display, 4*4 matr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-02
    • 文件大小:14877731
    • 提供者:
  1. uart

    0下载:
  2. xilinx板子virtex5板子上实现rs232串口通信实验,并通过led灯进行检测-xilinx the board virtex5 board rs232 serial communication experiment to detect and led through the lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:461439
    • 提供者:张浩
  1. pwm.rar

    0下载:
  2. 实现pwm波的输出,按键可调占空比的,可通过连接pwm输出值led灯以检测占空比的变化,To realize the output of the PWM wave, key adjustable duty ratio, but through the connection PWM output value led lamp with testing duty ratio changes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:164995
    • 提供者:廊桥拾梦
  1. TrafficLight

    0下载:
  2. 通过硬件描述语言VHDL编程,实现交通灯功能,要求如下:   ① 车辆传感器(C),检测车辆通行情况,用于主干道的优先权控制; ② 主干道公路路口安装有人员通过请求按钮(PQ),一旦有请求信息,控制器应按放行处理,否则按默认方式处理; ③ Online控制信号由交通控制中心发出,(Online=1)一旦它有效,则主干道放行,十字交叉路口控制器“失效”,Online=0十字交叉路口控制器恢复控制权;   ④ 当次干道公路无车时,始终保持次干道公路红灯亮,主干道绿灯亮; ⑤ 当次
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8922230
    • 提供者:韩彩英
  1. Verilog

    0下载:
  2. VHDL Verilog 系统仿真实验 流水灯 加减法 计数器 序列检测 编码器 解码器等-VHDL Verilog 系统仿真实验 流水灯 加减法 计数器 序列检测 编码器 解码器等
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:508236
    • 提供者:赵航
  1. 1111-Sequence-Detection

    1下载:
  2. 1111序列检测的设计VHDL代码,用状态机实现111序列检测的设计,如果检测到正确的序列,则led灯亮起,否则熄灭-1111 Sequence Detection design VHDL code, using the state machine to achieve 111 Sequence Detection design, if it detects the correct sequence, led lights, otherwise extinguished
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:526
    • 提供者:syt
  1. johnson

    0下载:
  2. 可以用按键控制的左右移动流水灯设计,同时加入了按键边缘检测技术,防止按键抖动-You can move around with the buttons control the water lights design, while adding a key edge detection technology to prevent the keys jitter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1155
    • 提供者:李连生
  1. xulie

    0下载:
  2. 序列检测,检测出序列11010后亮灯,文件是用verilog编写的-Sequence detection, after detecting a sequence of 11010 lighting, files are written with verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:351866
    • 提供者:夏冬青
  1. jiaotongdeng-FPGA

    0下载:
  2. 交通灯控制器控制两个主干道交叉路口的交通,路口车辆多,直行信号、左转弯信号分开显示,a,b两个主干道的通行时间相等,其中指示直行的绿灯亮30 s,指示左转弯的绿灯亮12 s,绿灯变至红灯时,黄灯亮3 s,以便于车辆能停在停车线内,红灯信号的最后3 s相应的黄灯也同时亮,以便提示驾驶人员准备起步。在两个主干道路口都配备传感器用来检测有无车辆通行。当两个主干道都有车辆时,自动处于主干道a绿灯,主干道b红灯的状态,然后轮流切换通行。当主干道a无车辆时,自动处于主干道b绿灯,主干道a红灯的状态;反之亦然
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2206074
    • 提供者:江楠
  1. board

    0下载:
  2. 4位led灯以二进制从0000变化到1111,再从1111变化到0000,外加温度检测-4 led lights change 0000 to 1111 in binary, then change 1111 to 0000, plus temperature measurement
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3448095
    • 提供者:张小二
  1. ve_lab

    0下载:
  2. verilog语言实现智能交通灯控制系统,除现有交通灯系统基本功能以外,还包括未来交通可能出现的一些需要智能控制的情况进行自定义规则(比如检测车流量来控制交通灯持续时间,高峰期主干道绿灯时间将加倍等规则)(The project was completed by myself about two months ago. I think it will be useful for traffic control system.But there are many points needed to
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-03
    • 文件大小:2674688
    • 提供者:沈浩
搜珍网 www.dssz.com