CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 点阵滚动

搜索资源列表

  1. LED

    0下载:
  2. 基于alteraCPLD芯片的VHDL点阵滚动显示源代码
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:109060
    • 提供者:林晋阳
  1. LEDhanzigundong_VHDL

    0下载:
  2. 本文主要讨论了使用EDA工具设计汉字滚动显示器的技术问题。文中首先描述了基于现场可编程门阵列(FPGA)的硬件电路;然后研究了在8×8LED发光二极管点阵上显示滚动汉字的原理,并给出了基于ALTERA的参数化模型库LPM描述其功能的VHDL语言程序设计;最后对使用EDA工具软件加工被显示数据文件的方法进行了讨论。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:186110
    • 提供者:wang
  1. led8x8

    0下载:
  2. 8x8点阵滚动字幕显示驱动 verilog-8x8 dot matrix display driver verilog marquee
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:511166
    • 提供者:李生
  1. music_player

    1下载:
  2. FPGA实现音乐播放器,蜂鸣器播音,LED点阵屏同步滚动显示歌词,与音乐同步效果好,按键控制播放、暂停、停止、重播。-FPGA realization of music players, broadcasting buzzer, LED dot matrix display screen, synchronized scrolling lyrics and music synchronization effect, buttons control play, pause, stop, repla
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2433977
    • 提供者:顿河战神
  1. example8

    0下载:
  2. 本实验是点阵的汉字滚动程序,显示的一个汉字滚动程序。本例程中的汉字编码在word函数中,编码是一个“王”字。实验结果是汉字从左到右的滚动,其他的方式可以自行编程。 -This experiment is the Chinese character dot matrix rolling process, shows a Chinese rolling process. This routine in the word in the Chinese character coding function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:27103
    • 提供者:panda
  1. VHDdisplay

    0下载:
  2. VHDL汉字滚动历程 实现一个王字在8X8点阵上滚动显示-VHDL characters rolling process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:27108
    • 提供者:刘磊
  1. hanzigundongxianshi

    0下载:
  2. FPGA汉字滚动显示 1)用8×8点阵显示屏滚动显示至少4个汉字; 2)可以用拨码开关控制左、右滚动显示。 -Chinese scroll FPGA 1) 8 × 8 dot matrix display with a scroll at least 4 characters 2) can be DIP switch control the left and right scroll.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:378690
    • 提供者:唐晓明
  1. hanzi

    0下载:
  2. 点阵汉字滚动显示:用8*8行共阴、列共阳双色点阵 发光器件滚动地显示汉字(王、正) -Dot matrix character scrolling display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:109073
    • 提供者:乐乐
  1. dianzheng

    0下载:
  2. 33 8×8LED点阵屏仿电梯数字滚动显示 -33 8 × 8LED dot matrix screen digital imitation of the elevator 33 8 × 8LED scrolling dot matrix display screen scrolling digital display fake elevator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:22790
    • 提供者:华生
  1. display-the-source-code

    0下载:
  2. 基于alteraCPLD芯片的VHDL点阵滚动显示源代码-VHDL-based alteraCPLD chip dot matrix rolling display the source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:114310
    • 提供者:鑫赟
  1. 16-16LED

    0下载:
  2. 51单片机16×16LED点阵屏仿电梯数字滚动显示仿真与代码!-51 single-chip 16 × 16LED dot matrix screen imitation of the elevator digital scroll shows the simulation and code!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:77678
    • 提供者:hj
  1. jiyuEDAjishu

    0下载:
  2. 基于EDA技术的汉字滚动技术,可用在8*8LED点阵上用来显示汉字流动效果。-This is EDA about Characters rolling technology .You can use this to develop new technology.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:185840
    • 提供者:zhangjinhuan
  1. Ndianzhengunde

    0下载:
  2. 点阵显示新历 温度滚动,自己写的通俗易懂,有C语语言基础的都可以看懂 ,经测试可直接使用。 -The dot matrix display the history of the new temperature scroll, written in easy to understand, in C language based can understand, has been tested and can be used directly.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:114564
    • 提供者:
  1. 1dappinmu16XX6

    0下载:
  2. 大屏幕16X64LED点阵滚动显示出来来 大屏幕16X64LED点阵滚动显示出来 -Large screen 16X64LED lattice scroll out to the big screen 16X64LED lattice scroll displayed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:57524
    • 提供者:口音
  1. FPGA-based-16X16-dot-matrix

    0下载:
  2. 基于FPGA的16X16点阵去显示汉字,让汉字滚动显示-FPGA-based 16X16 dot matrix to display Chinese characters
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-04
    • 文件大小:1312
    • 提供者:李超群
  1. hanzi0430

    0下载:
  2. 基于FPGA芯片,在16x16的点阵上滚动重复显示多个汉字的源代码-Repeated 16x16 dot matrix rolling display the source code of Chinese characters based on the FPGA chip,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:384089
    • 提供者:wangyanwei
  1. dot.matrix

    0下载:
  2. 能实现点阵显示单个字符,多个字符,多个字符滚动显示等功能-To achieve a single character dot-matrix display, multiple characters, multiple characters scrolling display functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2355205
    • 提供者:廖浩帆
  1. Dian.zhen

    0下载:
  2. FPGA开发,在8x8点阵上实现左右,上线滚动显示文字。以及变色显示文字。通过按键切换功能-FPGA development, implementation around the 8x8 matrix, the on-line scrolling display text. And color display text. By switching function keys
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2613
    • 提供者:叶泽千
  1. project

    0下载:
  2. VHDL编写8*8LED点阵。实现显示,滚动字符。包含硬件部分PCB图。-VHDL, 8* 8LED lattice. Achieve the display, scrolling characters. Includes hardware part PCB.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1381331
    • 提供者:龙睿
  1. led

    0下载:
  2. 利用quartusii软件编程平台实现led点阵的汉字滚动显示功能,模拟广告牌-Quartusii use software programming platform led dot matrix character scrolling display, analog billboard
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1668
    • 提供者:Steve
« 12 »
搜珍网 www.dssz.com