CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 点阵 移动

搜索资源列表

  1. my_dot

    0下载:
  2. 基于FPGA的点阵显示程序,可移动显示多个汉字和字符,-Lattice FPGA-based display program can display a number of Chinese characters and moving characters,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:131442
    • 提供者:木一
  1. VHDL5

    0下载:
  2. 一个使用VHDL进行点阵移动的试验,让你明白点阵编程的原理。-Use VHDL to move a dot-matrix test, so that you understand the principle of dot-matrix program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1056
    • 提供者:wyb
  1. ct

    1下载:
  2. 用vhdl做的一个简单的太空大战游戏,在hdle实验板上可以运行,在16*16点阵可以显示飞行器移动,障碍物下落效果-Vhdl to do with a simple space war game, the board can run in the hdle experiment, in 16* 16 dot matrix to display the vehicle move, obstacles fall effect
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:2041069
    • 提供者:张春涛
  1. dotdisplay

    0下载:
  2. 16*16点阵横向移动显示!采用QUARTUS II 9.0编译通过!-16* 16 dot matrix display lateral movement! Compiled by using QUARTUS II 9.0!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:2159
    • 提供者:liuguang
  1. dianzhen

    0下载:
  2. 16*16点阵屏程序 可实现输出字型 字形变换 字形移动-16* 16 dot matrix display program can be shaped to achieve the output font glyph transformation moves
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:57161
    • 提供者:浅浅
  1. tanchishe

    0下载:
  2. 数字电路与逻辑设计综合实验,贪吃蛇游戏机的实验报告。本实验是用通过VHDL的代码编写,然后下载到EPM7128数字逻辑实验开发板上,用点阵显示老鼠,蛇,以及墙,用数码管显示倒计时以及得分的情况。最终实现老鼠的随机出现,蛇的移动以及吃老鼠得分,撞墙或触边即死。-Digital circuit and logic design experiment, experimental report of the Snake game consoles
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:262040
    • 提供者:张三
  1. Dynamic-display-of-Lattice

    0下载:
  2. 能够实现汉字点阵的动态显示,如左右移动等-Dynamic display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:381634
    • 提供者:wxt
  1. kMMyycousee

    0下载:
  2. keilc51写的,双色LLED点阵屏 可移动 速度可调 -keilc51 write, color LLED lattice screen removable adjustable speed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:56560
    • 提供者:口音
  1. A158883834166b

    0下载:
  2. 关于16行64列的LED点阵,能够左右移动,程序源码简简单易懂易懂,希望大家来下载 可直接使用。 已通过测试。 -About 16 rows of 64 LED dot matrix, be able to move around the program source code, the simple and easy to understand and easy to understand, want to download can be used directly. Has been t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:75780
    • 提供者:口音
  1. vhd123

    0下载:
  2. 基于VHDL的实现赛车点阵,赛道和赛车,能够左右移动-VHDL-based matrix to achieve racing, track and racing, can move around
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1070783
    • 提供者:雷涛涛
  1. gongcheng-

    0下载:
  2. 实现点阵赛偶车,赛道能够移动,赛车可以左右移动-Dot game even realize the car, the track can move, you can move around racing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:19503
    • 提供者:雷涛涛
  1. snaketc

    0下载:
  2. 贪吃蛇游戏机 用一个 8×8 点阵做为基本显示屏,4 个连续移动的的发光点表示一条蛇,用任意出现的一个亮点表示老鼠,用4 个排成一条线的发光点表示“墙”;用两位拨码开关控制蛇的运动方向,蛇撞“墙”、边或者游戏时间到,则游戏结束;老鼠出现的位置是随机的,每次出现的时间是5 秒钟,如果5 秒钟之内没有被吃掉,它就会在其它地方出现;用数码管显示得分情况和游戏剩余时间,每吃掉一次老鼠就加一分。 -Snake game console with a 88 dot matrix display as
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2142
    • 提供者:Sophia
  1. xianshi

    0下载:
  2. 用汉字点阵码编10个字的短句(可以是专业介绍、古诗片段),移动显示,分帘请屏、正常及镂空显示-Chinese character dot matrix code compiled 10 words of the phrase (can be a professional introduction, ancient poetry fragments), mobile display, sub screen, screen, normal and hollow display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-13
    • 文件大小:1631
    • 提供者:刘宝丛
搜珍网 www.dssz.com