CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 移位相加 乘法器

搜索资源列表

  1. Multiply8-6

    0下载:
  2. FPGA verilog用移位相加的方式来实现8位的乘法器-FPGA verilog With shift and add a way to achieve 8 multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:237895
    • 提供者:李潇
  1. 8bit_multiplier

    0下载:
  2. 8bit 无符号串联乘法器,由状态机实现,用相加与移位实现乘法功能。-Unsigned 8bit serial multiplier, the state machine implementation, realized by adding the shift multiplication function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1386
    • 提供者:刘禹韬
« 1 2»
搜珍网 www.dssz.com