CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 红外

搜索资源列表

  1. Cyclone4_115_IR

    0下载:
  2. FPGA下红外收发项目工程,基于cyclone4 芯片,包括项目verilog源码已经sof下载文件,对于基于fpga的红外模块开发很有参考价值。-Project under infrared transceiver FPGA based cyclone4 chips, including project sof verilog source code has been downloaded files for fpga-based infrared module development of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:85259
    • 提供者:bankfly
  1. 20131201q_IR_gxy

    0下载:
  2. 这是调试红外的verilog代码,红外遥控输入的信息可以直接显示在数码管上-This is the infrared verilog code debugging information infrared remote control input can be displayed directly on the digital
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7679499
    • 提供者:顾好人
  1. 27_red_light_display

    0下载:
  2. 基于altera的fpga的红外遥控解码,数码管显示数据的模块。-Altera fpga-based company s infrared remote control decoding, digital display module data.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:507126
    • 提供者:赵振超
  1. 5-example_IR_1

    0下载:
  2. 基于altera EP4C FPGA的红外解析,协议格式为NEC protocol-FPGA, EP4C, NEC protocol
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4193318
    • 提供者:Sum
  1. Infrared-remote-control

    0下载:
  2. 用VERILOG语言实现的红外遥控实验,已成功用于实验用小飞机的飞行控制。-Experiment with infrared remote VERILOG language, has been successfully used in experiments with small aircraft flight control.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:719417
    • 提供者:zyb
  1. top_hwx

    0下载:
  2. quartus 红外遥控接收解码工程以及相关代码。可直接使用-quartus ii hwx project and source code 毛can be directly used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3419058
    • 提供者:zhaoyulong
  1. car

    3下载:
  2. 基于Xilinx公司的ISE软件开发的智能循迹避障小车的源代码,用Verilog语言,传感器有红外传感器以及超声波传感器-Xilinx' s ISE-based software development intelligent car tracking avoidance source code, using Verilog language, the sensor has an infrared sensor and ultrasonic sensors
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-05-25
    • 文件大小:442368
    • 提供者:郭广宇
  1. zonghe1

    1下载:
  2. 此代码为可循迹小车的源码,实现红外遥控小车,以及超声波避障和红外循迹。-To follow tracing cart source code, the code so as to realize the infrared remote control cars, as well as ultrasonic obstacle avoidance and infrared tracking.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-11-30
    • 文件大小:2284544
    • 提供者:王晓兵
  1. combine

    0下载:
  2. 代码主要实现小车的红外避障以及超声波测距,进而控制点小车跟随人行走。-The main code of the car infrared obstacle avoidance and ultrasonic ranging, and then control point car to follow people walking.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:787818
    • 提供者:张旭
  1. ir

    0下载:
  2. 这是一个红外遥控程序,可以遥控LED灯,数码管。语言verilog hdl-This is an infrared remote control program that can be remotely controlled LED lights, digital control. Language verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1649060
    • 提供者:liweidi
  1. DE2_115_IR

    0下载:
  2. 红外无线发送接收的功能和介绍,包括英文注释,还有仿真,引脚绑定-Infrared wireless transmission and reception functions and presentation, including comments in English, as well as simulation, pin bindings
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3843321
    • 提供者:王兵兵
  1. FPGA-Infrared-remote-control

    1下载:
  2. 基于FPGA的学习型红外遥控器设计,实现红外接收,红外发送,以及储存功能。-FPGA Infrared remote control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:14116
    • 提供者:YH
  1. IR

    1下载:
  2. 红外遥控器Verilog代码实现,并在数码管上显示,包含详细代码+资料-Infrared remote control Verilog code, and on the digital display, it contains detailed information on the code+
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2258654
    • 提供者:孤风
  1. irdaGET

    0下载:
  2. 红外通讯接收,irda通讯接收,红外通讯测试-Infrared communications received, irda communications received infrared communication test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1093
    • 提供者:张三
  1. qudong

    0下载:
  2. 实现驱动红外探测器前端图像采集功能,实现红外热成像镜头的前端采集。-Infrared detector drive to achieve front-end image acquisition, to achieve front-end collection of infrared thermal imaging lens.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2790
    • 提供者:张德洲
  1. IR

    0下载:
  2. 接收红外传输,并且以十进制显示接收到的信号,超过十进制或者非十进制以ffff显示-Receiving the infrared transmission, and to a decimal display the received signal,More than a decimal or a decimal to FFFF display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3493597
    • 提供者:王春
  1. veriloghdl-Prog-of-IR

    0下载:
  2. 采用verilogHDL语言编程,对4x4键盘进行编码并且调制成红外遥控信号,适用于可编程逻辑器件的红外遥控解码逻辑设计。-Use verilogHDL language programming, to 4 x4 keyboard encode and made the infrared remote control signal, is suitable for programmable logic devices of infrared remote control decoding log
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:130338
    • 提供者:houjihong
  1. IRDA

    0下载:
  2. 该代码利用veilong语言,能实现通过红外遥控让数码管显示相关数据-The code using veilong language, can be achieved through infrared remote control to allow the digital display of the relevant data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3495452
    • 提供者:相猛 唐
  1. myproject3

    0下载:
  2. 实现用FPGA控制小车循迹,和利用红外遥控控制小车-Implemented in FPGA car tracking control, and the use of infrared remote control car
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3938192
    • 提供者:wang
  1. class19_IR_code

    0下载:
  2. 主要是运用Verilog代码对红外进行解码-Mainly use Verilog code to decode the infrared
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:525941
    • 提供者:李改有
« 1 2 3 45 »
搜珍网 www.dssz.com