CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 脉冲 发生

搜索资源列表

  1. 双路脉冲发生器(veralog)

    0下载:
  2. Verilog HDL 程序 双路脉冲发生器的代码 包含了键盘控制,LED显示,脉冲发生,脉冲频率测量模块 是我自己写得,希望能对你有帮助,有问题可以mail:shaojunwu1@163.com-Verilog HDL dual-channel pulse generator procedure code includes a keyboard control, LED display, pulse, pulse frequency measurement module is wr
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4210
    • 提供者:邵君武
  1. 9.3_Pulse_Counter

    1下载:
  2. 基于Verilog-HDL的硬件电路的实现 9.3 脉冲计数与显示   9.3.1 脉冲计数器的工作原理   9.3.2 计数模块的设计与实现   9.3.3 parameter的使用方法   9.3.4 repeat循环语句的使用方法   9.3.5 系统函数$random的使用方法   9.3.6 脉冲计数器的Verilog-HDL描述   9.3.7 特定脉冲序列的发生   9.3.8 脉冲计数器的硬件实现 -based on V
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4177
    • 提供者:宁宁
  1. 9.4_PULSE_FRE

    2下载:
  2. 基于Verilog-HDL的硬件电路的实现 9.4 脉冲频率的测量与显示   9.4.1 脉冲频率的测量原理   9.4.2 频率计的工作原理   9.4.3 频率测量模块的设计与实现   9.4.4 while循环语句的使用方法   9.4.5 门控信号发生模块的设计与实现   9.4.6 频率计的Verilog-HDL描述   9.4.7 频率计的硬件实现 -based on Verilog-HDL hardware Circuit of
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2463
    • 提供者:宁宁
  1. 9.5_PULSE_WIDTH

    0下载:
  2. 基于Verilog-HDL的硬件电路的实现 9.5 脉冲周期的测量与显示   9.5.1 脉冲周期的测量原理   9.5.2 周期计的工作原理   9.5.3 周期测量模块的设计与实现   9.5.4 forever循环语句的使用方法   9.5.5 disable禁止语句的使用方法   9.5.6 时标信号发生模块的设计与实现   9.5.7 周期计的Verilog-HDL描述   9.5.8 周期计的硬件实现   9.5.9 周期测
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4989
    • 提供者:宁宁
  1. 9.6_PULSE_Level

    0下载:
  2. 基于Verilog-HDL的硬件电路的实现 9.6 脉冲高电平和低电平持续时间的测量与显示   9.6.1 脉冲高电平和低电平持续时间测量的工作原理   9.6.2 高低电平持续时间测量模块的设计与实现   9.6.3 改进型高低电平持续时间测量模块的设计与实现   9.6.4 begin声明语句的使用方法   9.6.5 initial语句和always语句的使用方法   9.6.6 时标信号发生模块的设计与实现   9.6.7 脉冲高低电平持续
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5359
    • 提供者:宁宁
  1. wave

    0下载:
  2. 可控脉冲发生器的VHDL源代码。设计文件加载到目标器件后,按下按键开关模块的S8按键,在输出观测模块通过示波器可能观测到一个频率约为1KHZ、占空比为50 的矩形波。按下S1键或者S2键,这个矩形波的频率会发生相应的增加或者减少。按下S3键或者S4键,这个矩形波的占空比会相应的增加或减少。-Controllable pulse generator of the VHDL source code. Design documents loaded to the target device and p
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:800
    • 提供者:王唐小菲
  1. programmablpulsegenerator

    0下载:
  2. 用VHDL编译的源代码,可编程脉冲生成器,解压后直接用Quartus打开project即可-Compiled with VHDL source code, programmable pulse generator, after extracting the direct use of Quartus can open the project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:13673
    • 提供者:xie
  1. step_motor

    0下载:
  2. 步进电机是一种能够将电脉冲信号转换成角位移或线位移的机电元件,它实际上是一 种单相或多相同步电动机。单相步进电动机有单路电脉冲驱动,输出功率一般很小,其用途 为微小功率驱动。多相步进电动机有多相方波脉冲驱动,用途很广。使用多相步进电动机时, 单路电脉冲信号可先通过脉冲分配器转换为多相脉冲信号,在经功率放大后分别送入步进电 动机各相绕组。每输入一个脉冲到脉冲分配器,电动机各相的通电状态就发生变化,转子会 转过一定的角度(称为步距角)。正常情况下,步进电机转过的总角度和
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:951
    • 提供者:ken
  1. cnt10.vhd

    0下载:
  2. 设计一个10进制同步计数器,带一个清零端,一个进位输出端。(如果改成六进制,应该如何修改程序) 计数器分为同步计数器和异步计数器两种,是典型的时序电路,分析计数器就能更好的了解时序电路的特性。所谓同步计数器,就是在时钟脉冲的控制下,构成计数器的各触发器同时发生变化的那一类计数器。异步计数器又称行波计数器,它的下一位计数器的输出作为上一位计数器的时钟信号,这样一级一级串接起来就构成了一个异步计数器。异步计数器与同步计数器不同之处就在于时钟脉冲的提供方式,但是,由于异步计数器采用行波计数,从
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1054
    • 提供者:chenguoxian
  1. traffic-lights-the-controller-design

    0下载:
  2. 设计一个十字路口的交通灯控制器,能显示十字路口东西、南北两个方向的红、黄、绿灯的指示状态。两组红、黄、绿三种颜色的灯分别作为东西、南北两个方向的红、黄、绿灯。变化规律为:东西绿灯亮,南北红灯亮,东西黄灯亮,南北红灯亮,东西红灯亮,南北绿灯亮,东西红灯亮,南北黄灯亮,东西绿灯亮,南北红灯亮……,这样循环下去。 南北方向是主干道车到,东西方向是支干道车道,要求两条交叉道路上的车辆交替运行,主干道每次通行时间为30秒,支干道每次通行时间为20秒,时间可设置修改。 在绿灯转为红灯时,要求黄灯先亮
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:169279
    • 提供者:sunny
  1. trafficlight

    0下载:
  2. 该数字系统完成对十字路口交通信号灯的控制,十字路口由一条东西方向的主干道(简称A道)和南北方向的支干道(简称B道)构成。 十字路口交通灯控制规则为: (1) 初始状态为4 个方向的红灯全亮,时间1s。 (2) 东西方向绿灯亮,南北方向红灯亮。东西方向通车,时间30s。 (3) 东西方向黄灯亮,南北方向红灯亮,时间5s。 (4) 东西方向红灯亮,南北方向绿灯亮。南北方向通车,时间20s。 (5) 东西方向红灯亮,南,北方向黄灯亮,时间5s。 (6) 返回(2),继续运行。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:699632
    • 提供者:蔡利波
  1. chuankoushoufa

    0下载:
  2. 接收代码: 对接收数据的采样频率:16X9600HZ 接收代码编写思路: 首先判断起始位,没有数据传输时,起始位为“1”的状态,当有数据时起始位为“0”。因为采样的频率是通信频率的16倍,所以当连续8次(数据位正中间)采集为“0”时就认为是有数据到来。那么可以开始采集数据位,以后每隔16个脉冲采集一个数据(每个数据的正中央,不易发生畸变的部分),连续采样8次,即完成数据位的采集。最后实现串并转换。如此重复即可。(因为通信已经预约好,停止位和校验位都为“1”,不会对数据产生影响。)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:86013
    • 提供者:ran feng
  1. driver

    0下载:
  2. 基于FPGA的脉冲发生,使用的是Quartus仿真环境以及VHDL语言编译-FPGA-based pulse generation, using Quartus simulation environment and VHDL language compiler
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:761
    • 提供者:张张
  1. exp11

    0下载:
  2. 在掌握可控脉冲发生器的基础上了解正负脉宽数控调制信号发生的原理。熟练的运用示波器观察实验箱上的探测点波形。掌握时序电路设计的基本思想。-On the basis of mastering the controllable pulse generator, the principle of the digital modulation signal of the positive and negative pulse width is understood. Skilled use of osci
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:812
    • 提供者:漆广文
  1. Positive-and-negative-pulse-width

    0下载:
  2. 1、在掌握可控脉冲发生器的基础上了解正负脉宽数控调制信号发生的原理。 2、熟练的运用示波器观察实验箱上的探测点波形。 3、掌握时序电路设计的基本思想 -Positive and negative pulse width modulation signal generator design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:133209
    • 提供者:漆广文
  1. xinhaofashengqi

    0下载:
  2. 信号发生包括 器函数信号发生器, 正弦信号发生器, 低频信号发生器, 多功能信号发生器, 彩色电视信号发生器,, 高频信号发生器, 脉冲信号发生器, 数字信号发生器, dds信号发生器,...... 发生各种类信号供调试、检测、调制等生产、科研、教学之用。-信号发生包括 器函数信号发生器, 正弦信号发生器, 低频信号发生器, 多功能信号发生器, 彩色电视信号发生器,, 高频信号发生器, 脉冲信号发生器, 数字信号发生器, dds信号发生器,...... 发生各种类信号供调试、
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:7461666
    • 提供者:吴立
  1. kekongmaichongfashengqi

    0下载:
  2. 实现各种脉冲的发生,仿真结果包含在文档中-To achieve a variety of pulse generation, simulation results included in the document
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:237165
    • 提供者:张雨
搜珍网 www.dssz.com