CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 解决

搜索资源列表

  1. mcuconnect

    0下载:
  2. 基于VHDL语言开发的mcu与外部器件的接口程序,解决了高速mcu与低速外部器件的接口问题。-based on VHDL development mcu with external device interface, mcu solve the high-speed and low-speed external device interface.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1285
    • 提供者:djksdf
  1. tom08

    0下载:
  2. SRAM 视频采集测试程序 读写时序控制 为解决时钟切换而做的测试程序-SRAM test sequential read and write control procedures to resolve the clock switching out of the test procedure
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:149295
    • 提供者:刘留
  1. xapp514

    0下载:
  2. 广播用音频视频连接功能解决方案,有SDI,HDI
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5843919
    • 提供者:mickwolf
  1. Example-b4-1

    0下载:
  2. Altera基本宏功能应用设计实例  “\\Example-b4-1\\Project”目录下为设计工程  “\\Example-b4-1\\Solution”目录下为正确的解决方案,仅供读者参考
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:303212
    • 提供者:king
  1. Example-b4-2

    0下载:
  2. Altera IP应用设计实例  “\\Example-b4-2\\Project”目录下为设计工程  “\\Example-b4-2\\Solution”目录下为正确的解决方案,仅供读者参考
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:394543
    • 提供者:king
  1. vhdl

    0下载:
  2. 伪随机码发生器的VHDL实现 随着通信理论的发展,早在20世纪40年代,香农就曾指出,在某些情况下,为了实现最有效的通信,应采用具有白噪声的统计特性的信号。另外,为了实现高可靠的保密通信,也希望利用随机噪声。然而,利用随机噪声最大困难是它难以重复产生和处理。直到60年代,伪随机噪声的出现才使这一难题得到解决
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:217591
    • 提供者:张之晗
  1. Reset

    0下载:
  2. 一篇关于软件复位的论文,本文很详细的描述了VHDL语言中的软件复位,包括各种具体解决方案,对FPGA/CPLD设计者来说,相当具有参考价值。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:243034
    • 提供者:张勃
  1. modsim

    1下载:
  2. modsim仿真必备,可以帮助你解决很多你对软件不熟悉的问题!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:516873
    • 提供者:beckham
  1. 经典高速乘法器IP

    1下载:
  2. 乘法器是硬件设计中的很常见也很重要的一个模块,它的VHDL硬件实现很好的解决了软件编程中做乘法速度慢的问题,在实时高速系统应用中或DSP软核或数字信号处理硬件实现算法中,经常能使用到乘法器,所以经典的高速乘法器IP 很有参考价值-Multiplier is a common and important module in hardware designing.Its VHDL addresses the low speed of multiplication in software progra
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:309363
    • 提供者:czy
  1. UHF+RFID中曼彻斯特及FM0编解码解决方案

    0下载:
  2. 曼彻斯特及FM0编解码解决方案
  3. 所属分类:VHDL编程

    • 发布日期:2011-03-04
    • 文件大小:2356818
    • 提供者:hzz209
  1. 8051_test2.rar

    0下载:
  2. 利用FPGA实现51IP核的下载和运行,并在下载到FPGA后,在改51IP核上运行自己编写的单片机程序,软核51单片机有利的解决了,硬件51单片机的很多限制,提高了单片机的性能。,FPGA realization of the use of nuclear 51IP download and run, and downloaded to the FPGA after the nuclear 51IP to run their own procedures for the preparation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1762755
    • 提供者:贾衡天
  1. scramble.rar

    1下载:
  2. 通信用加扰码VHDL电路,解决光传输过程中的连零和连一码的出现。,Communication scrambling circuit VHDL Code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:911
    • 提供者:江山
  1. PhaseNoise.rar

    0下载:
  2. 小数分频技术解决了锁相环频率合成器中的频率分辨率和转换时间的矛盾, 但是却引入了严重的相位噪声, 传统的相位补偿方法由于对Aö D 等数字器件的要求很高并具有滞后性实现难度较大。$2 调制器对噪声具有整形的功 能, 因而将多阶的$2 调制器用于小数分频合成器中可以很好地解决他的相位噪声的问题, 大大促进了小数分频技术的 发展和应用。文章最后给出了在GHz 量级上实现的这种新型小数分频合成器的应用电路, 并测得良好的相噪性能。,Fractional-N technology to s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:286509
    • 提供者:朱成发
  1. modelsim_6.3f_6.4b_6.5_crck.ra

    1下载:
  2. 目前这个生成的key在modelsim se 6.3f 6.4b 6.5测试没问题。因为这几个版本是我逐步升级的,应该说从6.3f~6.5的都可以用。测试环境为windows xp sp3. vista没有测试。按理说是一样的。使用过程中遇到的一些问题的解决办法关于key里面生成中文字符的情况产生原因是,windows当前用户名和主机名是中文,修改之后重新生成一次。在安装的时候要设置环境变量LM_LICENSE_FILE,指向lincense的的路径和文件名。需要在cmd下使用modelsim的
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:308642
    • 提供者:yanghong
  1. Verilog_UDP

    0下载:
  2. 辛辛苦苦找到的UDP的资料,在verilog中UDP指的是用户定义的原语。比如说大家有时候会见到“primitive...table...endtable...endendprimitive”这样的代码段,在书上只能找到大概的解释。到网上查的话又老是跟TCP/IP的UDP冲突。所以特地搜集到了这个东西,希望能帮助大家解决“用户原语”相关的问题。-UDP hard to find the information in verilog in the UDP refers to the user-de
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:125643
    • 提供者:龙也
  1. xilnx_sata

    1下载:
  2. xilinx 的sata解决方案,已对其中内容作了修改,可实现综合-sata the xilinx solutions have been made to amend the contents of which can be used
  3. 所属分类:VHDL编程

    • 发布日期:2012-10-29
    • 文件大小:65198
    • 提供者:张峰
  1. uart_EP3C16_FIFO

    1下载:
  2. Verilog编写的串口RS232收发字符串程序,使用FIFO作为数据缓冲区,有效收发字符串长度为256字节,解决了利用串口调试工具与FPGA通讯只能收发单字节的问题.-Programs for uart/RS232, it can receive and transmit strings.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-11-10
    • 文件大小:6756352
    • 提供者:515666524
  1. RS485EN

    0下载:
  2. RS485的双向通信处,正在为此头疼的同学们可要注意了,这个可以解决你们双向通信过程中的很多问题哦-Two-way RS485 communications, the headache is to this end they' ll pay attention to the students, this two-way communication you can solve many problems in the course of oh
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:151216
    • 提供者:江山
  1. hdb3_1.1

    0下载:
  2. verilog 语言hdb 3 编 码 经过测试,但冗余问题未解决-Verilog language coding hdb 3 tested, but unresolved questions redundancy
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1618
    • 提供者:huang
  1. asynchronoussignal

    0下载:
  2. 描述跨时钟域分析,分析和解决异步时钟同步设计问题.-Descr iption of cross-clock domain analysis, analyze and solve design problems in asynchronous clock synchronization.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:478138
    • 提供者:张然峰
« 12 3 4 5 6 7 8 »
搜珍网 www.dssz.com