CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 进位加法

搜索资源列表

  1. 4bits_alu

    0下载:
  2. 实现4位加减乘除的alu,采用超前进位加法和布斯乘法,代码较为简单。-achieve four of the ALU arithmetic using CLA Bush and multiplication, code more simple.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:262257
    • 提供者:陈晓炜
  1. adder8b.rar

    0下载:
  2. 用VHDL设计一个八位并行加法器,该八位并行加法器是有两个四位二进制并行加法器通过级联而成,先设计两个四位二进制并行加法器分别表示八位数中的低四位和高四位以及其加法(含进位),再将两个四位并行加法器级联成一个八位并行加法器。这种方法原理简单,资源利用率和进位速度方面都比较好。,VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:189838
    • 提供者:赵祥
  1. 10fenpingqi

    0下载:
  2. 1、分别用IF语句和CASE语句设设计一个10分频器。 2、设计一个24进制加法计数器。 3、设计一个有使能端控制的4位减法计数器。 4、用case语句设计一个3-8译码电路 5、用CASE语句设计一个共阳极的七段译码电路。 6、已知输入信号为6MHZ,现需要输出2HZ信号,分别用if语句和CASE语句设计能实现该功能的电路 7、已知输入信号为9HZ,现需要输出2HZ信号,分别用if语句和CASE语句设计能实现该功能的电路 -1, respectively, with
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1832
    • 提供者:fox
  1. adder_32

    0下载:
  2. 超前进位加法器是通常数字设计所必备的,本程序为32位超前进位加法器-CLA is usually necessary for digital design, the procedure for 32-bit CLA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-05-18
    • 文件大小:1185
    • 提供者:zhaohongliang
  1. VHDLadderdoc

    0下载:
  2. 为了减轻大家负担,在次把带进位输入的8位加法计数器上传,希望能出分;力-In order to alleviate the burden on everyone, in time to enter into an 8-bit adder counter From the hope of a points force
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:377456
    • 提供者:
  1. VHDLaddercode

    0下载:
  2. 为了给大家紧张的工作减轻点负担,我把带进位输入的8位加法计数器上传在此,希望大家支持-In order to alleviate the intense work we point the burden, I entered into the 8-bit adder counter From Here, I hope you will support
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1362467
    • 提供者:
  1. daima

    0下载:
  2. 用VHDL语言设计一个8位加法器: 在八位加法器代码一中:加法器是由两个4位二进制加法器U1和U2组成的8位加法器逻辑电路,其中U1用来装载8位加法器中两个加数的低4位,而U2则用来装载高4位。在设计4位加法器时,定义输入信号量CIN、A、B以及输出信号量S、Cout。定义信号量SINT/AA/BB,将加数A和0并置后赋给AA,加数B和0并置后赋给BB,形成5位二进制数,这是为在做加法时发生溢出所做的处理,然后将加数AA与BB以及进位Cin相加赋给SINT,并将SINT的低4位赋给加数和S输
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-26
    • 文件大小:8994
    • 提供者:SAM
  1. alu_32_bit

    0下载:
  2. 用Verilog编写的32位ALU(运算器),具有与、或逻辑运算;加、减算术运算;小于置一,零检测,以及溢出检测等功能。其中加法运算是采用了快速进位链-32bitALU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:2019
    • 提供者:zhyan
  1. CNT4

    0下载:
  2. 4进制加法计数器,实现简单的4进制计数功能, 有进位输出,清零复位的功能,简单易行.-4 binary counter addition, the 4 simple binary counting function, carry out, clear reset function, simple.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:179084
    • 提供者:寒星
  1. bcd_adder_8

    0下载:
  2. 一个程序,完成2位8421BCD码加法运算,带有输入进位和输出进位-BCD code implements the addition of two
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:283055
    • 提供者:Simom
  1. Adder_2bit

    0下载:
  2. Adder_2bit ,带进位处理的2位加法器 此实验中,实现了2bit宽度的加法运算,并带进位处理。加数与被加数分别以SW[3..2]和SW[1..0]来表示,加法的结果用数码管静态地显示出来。-Adder_2bit, with carry handle 2-bit adder this experiment, the realization of the addition operation 2bit width, and bit into the handle. Addend and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:249238
    • 提供者:王晨
  1. BCD-counter

    4下载:
  2. 一个2位的BCD码十进制加法计数器电路,输入为时钟信号CLK,进位 输入信号CIN,每个BCD码十进制加法计数器的输出信号为D、C、B、A和进位输出信号COUT,输入时钟信号CLK用固定时钟,进位输入信号CIN. -A 2-bit BCD code decimal adder counter circuit input as the clock signal CLK, a carry input signal CIN, D, C, B, A, and the carry output s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:926
    • 提供者:victor
  1. lab5

    0下载:
  2. Verilog 程序 可以实现带进位的8bit加法和减法-The Verilog procedures can achieve 8bit addition and subtraction with carry
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:251098
    • 提供者:Xin wang
  1. CHENLI_VHDL_FINAL

    0下载:
  2. 四个按钮代表加法,减法,乘法和输入。也有8个二进制开关,用于输入两个操作数为每个计算。四位十六进制显示所选择的操作数和计算结果。-You will design a hexadecimal calculator.four push buttons represent Addition, Subtraction, Multiplication and Enter. There are also eight binary switches used to enter two operands for
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:404560
    • 提供者:Li Chen
  1. VHDL_ReversibleCounter

    0下载:
  2. 可逆计数器(两位十六进制,以十进制方式显示即从00,01数到14,15然后00,01再到根据10hz晶振(低频都可选,视板子情况而定)作为时间脉冲计数,rst键可以重置(清零 )计数器,drct键选择加法计数还是减法计数.-2-bit-Hexadecimal Reversible Counter(decimal display)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1151
    • 提供者:杨联开
  1. con_addr_32

    0下载:
  2. 因为二进制加法的进位只可能是1或0,所以可以将32位加法器分为8块(最低一块由4位先行进位加法器直接构成,其余加法结构都采用先行进位加法器结构)分别进行加法计算,除最低位以外的其他7块加法器结构各复制两份,进位输入分别预定为1和0。于是,8块加法器可以同时进行各自的加法运算,然后根据各自相邻低位加法运算结果产生的进位输出,选择正确的加法结果输出。-Because binary adder carry only be 1 or 0, so it can be 32-bit adder is div
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2252
    • 提供者:Peter
  1. adder_32bits

    1下载:
  2. 采用“进位选择加法”技术设计32位加法器 Verilog语言编写-32 bit adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-10-18
    • 文件大小:216064
    • 提供者:
  1. adder

    0下载:
  2. 进位加法,实现两个数的相加功能,可以扩展到多位数相加(Carry addition, to achieve the addition function of two numbers, can be extended to the number of add)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-25
    • 文件大小:258048
    • 提供者:凌风ts
  1. full_adder

    0下载:
  2. 全加器,可以实现数据的加法运算,有来自低位的进位和向高位的进位。(Full adder, data can be added to the operation, there are low from the carry and to the high carry.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-27
    • 文件大小:161792
    • 提供者:随风追月
搜珍网 www.dssz.com