CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 键盘

搜索资源列表

  1. keyboard

    0下载:
  2. vhdl简单的键盘程序,可以通过它来初步的了解vhdl键盘程序的相关编写,具体功能是按键并显示相关的代码-vhdl simple keyboard program written in it to a preliminary understanding of vhdl keyboard program, the specific function keys and display the code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:646
    • 提供者:
  1. NOIS_shizhong

    0下载:
  2. lcd1602 时钟显示键盘控制 可调 noios ii代码 EP2c5q208c8-lcd1602 时钟显示键盘控制
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-29
    • 文件大小:11948676
    • 提供者:李刚
  1. xiaodou

    0下载:
  2. vhdl键盘输入消抖动的一点源代码,希望能够共享一下-vhdl Keyboard input away shaking
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:3404
    • 提供者:事实上
  1. ps2dfe

    0下载:
  2. 本程序可实现用单片机来代替ps2键盘来给电脑输入数据-This procedure can be realized using a microcontroller instead of the ps2 keyboard to the computer input data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:6720
    • 提供者:8137268
  1. Keyboard

    0下载:
  2. 4×4键盘 描述了基本键盘功能,利于新手进行编程-4×4 keyboard
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:582
    • 提供者:李跃
  1. KEYBOARD

    0下载:
  2. VHDL设计矩阵键盘输入 -VHDL KEYBOARD VHDL KEYBOARD VHDL KEYBOARD VHDL KEYBOARD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:5109
    • 提供者:张鹏
  1. FPGA_ps2_lcd

    1下载:
  2. FPGA实现 LCD1602 显示 PS/2 键盘的键值,熟悉并掌握液晶 1602 显示屏的使用方法及PS/2键盘的接口标准,学习利用Verilog-HDL语言编写有限状态机实现较为复杂的设计与应用。-LCD1602 FPGA realizing that the PS/2 keyboard keys, familiar with and master the use of liquid crystal display 1602 method and PS/2 keyboard interfac
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-16
    • 文件大小:81920
    • 提供者:liu
  1. key-lcd

    0下载:
  2. 单片机键盘扫描 采用独立键盘 显示时钟-Keyboard for scanning using a separate keyboard to display clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:22498
    • 提供者:韩彦武
  1. PS2

    0下载:
  2. 读取PS2键盘输入信号 进行仿真分析 判断输入信号内容 并由数码管输出 可读数字 字母 大小写-reading the signal of keyboard with PS2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:953321
    • 提供者:croton
  1. 4X4vhdl

    0下载:
  2. 4X4键盘检测功能基于VHDL言语,程序简单明了。-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:721816
    • 提供者:张楼
  1. PADTOKEY

    0下载:
  2. 将开发板上的按键转换成按键码,对键盘进行识别。-scan key pad
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:993
    • 提供者:黄锦文
  1. key

    0下载:
  2. 实现了4*4键盘的扫描功能,设计简单可靠,参考价值大,可使用。verilog-fullfill the function of scanning 4*4 keyboard in verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:935
    • 提供者:王源
  1. led_0_7

    0下载:
  2. 与键盘扫描功能相对应,实现7段数码管的显示功能,在单片机中有较大用处。verilog-fullfill the function of displaying in verilog language. You can use it combined with keyboard scanning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:683
    • 提供者:王源
  1. key_scan

    0下载:
  2. 这个是学习FPGA时候自己写的键盘扫描的代码。采用的是边沿检测的方法,并且进行了滤波处理,本人测试仿真成功!-This is when the FPGA write their own learning keyboard scan code. Use is edge detection method, and its filtering processing, I test simulation success!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:367518
    • 提供者:xie hao
  1. verilog

    0下载:
  2. 矩阵键盘未消抖 用verilog语言编写,文件简介明了。容易看都和修改。-Matrix not away with verilog keyboard shake language, file introduction and clear. Easy to see all and modification.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3354
    • 提供者:高炼
  1. keyboard4_4-and-seg7

    0下载:
  2. 4*4键盘扫描程序,并将键值利用七段数码管显示出来。芯片为Altera Cyclone EP1C6Q240C8。-It s very simple,for rookies.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:984
    • 提供者:刘三虎
  1. keyboard_test

    0下载:
  2. 4×4键盘扫描程序。用VHDL语言写的键盘扫描编码输出的程序。-4 x4 keyboard scanning procedures. Written in VHDL language keyboard scan code output program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:258183
    • 提供者:kai
  1. 2

    0下载:
  2. LCD显示,图片和时间以及文字,并能够在笑键盘上输入并显示-LCD display, pictures and time, as well as text, and able to laugh on the keyboard input and display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:786850
    • 提供者:陈占峰
  1. key

    0下载:
  2. 矩阵键盘扫描程序,通过行扫描和列扫描确定按键位置。-Matrix keyboard scanner,Key position determined by line scan and column scanning.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:643
    • 提供者:hhy
  1. aa

    0下载:
  2. 4*4键盘输入,1602显示,可修改密码的电子密码锁。-4* 4 keyboard input, the 1602 display, electronic locks to change your password.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:2147
    • 提供者:bao
« 1 2 ... 24 25 26 27 28 2930 31 32 33 34 ... 42 »
搜珍网 www.dssz.com