CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 除法器

搜索资源列表

  1. chufaqi

    0下载:
  2. 四位除法器:使用vhd实现四位的有符号除法器-Four division: Use vhd signed to achieve four of the divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:535
    • 提供者:GOODSS
  1. zzchufaqi

    0下载:
  2. vhdl 除法器 eda课程设计用。 设计一个两个五位数相除的整数除法器。用发光二极管显示输入数值,用7段显示器显示结果十进制结果。除数和被除数分两次输入,在输入除数和被除数时,要求显示十进制输入数据。采用分时显示方式进行,可参见计算器的显示功能。-divider vhdl eda curriculum design purposes. Design a two five-digit integer divider division. Enter the value with the lig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:521983
    • 提供者:
  1. hightfrquencydivider

    0下载:
  2. 用VerilogHDL语言实现一个被除数为8位,除数为4为的高效除法器,实现高效的除法功能-VerilogHDL language with a dividend of 8 bits, the divisor is 4 for the high divider, a high efficiency of the division function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1413
    • 提供者:张茂磊
  1. vhdlchufaqi

    0下载:
  2. 这是一个基于VHDL语言的bch除法器,其功能就是实现二进制除法,采用移位的方式进行-This is based on VHDL language bch divider, its function is to achieve binary division, the way by shift
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1092
    • 提供者:刘某
  1. chufaqi

    0下载:
  2. 除法器程序,采用Verilog语言编写,并在CPLD开发板上经过验证,正确无误,希望对大家有用-Divider procedure for the Verilog language, and CPLD development board verified and correct, we hope to be useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:172292
    • 提供者:wanghong
  1. div_32bits

    0下载:
  2. 以ISE为平台,VHDL语言编写的32位补码整数除法器模块,只需在Top模块中调用即可-As a platform to ISE, VHDL language complement 32-bit integer division module, simply call the module to Top
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:631
    • 提供者:熊思源
  1. verilog

    0下载:
  2. 這是一個除法器演算法,是利用移位的方式進行除法運算-This is a divider algorithm is the use of division shift the way
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:922
    • 提供者:李家緯
  1. 12

    0下载:
  2. 4位除法器 library IEEE use IEEE.std_logic_1164.all use IEEE.std_logic_unsigned.all entity fpdiv is port ( DIVz: out STD_LOGIC A: in STD_LOGIC_VECTOR (3 downto 0) B: in STD_LOGIC_VECTOR (3 downto 0) data_out: out STD_LO
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:641
    • 提供者:陈强
  1. dcf089f8-85a5-44b9-98d9-e667ba564784

    0下载:
  2. 除法器能够做除法运算能够做除法运算 除法器能够做除法运算能够做除法运算-Divider can do can do division division
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1192
    • 提供者:zz
  1. div

    0下载:
  2. 四位除以四位除法器,VHDL语言描述,quaruts工程-Four divided by four divider, VHDL language descr iption, quaruts Engineering
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:204411
    • 提供者:朱银凤
  1. DIVIDA

    0下载:
  2. 20位除法器,vhdl语言所写的,不错的代码,仅供参考-20 divider, vhdl language written
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:558
    • 提供者:wu
  1. zuhe

    0下载:
  2. 这个是12位的除法器,进过验证的,verilog程序,应用组合逻辑,欢迎下载-This is 12-bit divider, been to verification, verilog, application logic combinations are welcome to download
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1365
    • 提供者:gaod
  1. verilog_Common_arithmetic

    0下载:
  2. 常用逻辑运算,加法器,乘法器及除法器的verilog语言,可用modelsim或Quartus II 9.0环境-Common logic operation, adder, multiplier and divider verilog language, can be used modelsim or Quartus II 9.0 environment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6060
    • 提供者:李菲
  1. VHDLchufaqi

    0下载:
  2. 针对8位的数据进行除法器的设计及实现,最后经编译通过。-Data for the 8-bit divider design and implementation, and finally by the compiler.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:5006
    • 提供者:宋茜
  1. diivider4

    0下载:
  2. 四位除法器,写的算法布扎带,想下就下,不下也行-Four divider, with a written calculation Fabu Zha, think the next on the next, no less will do
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:520
    • 提供者:刘哲
  1. chengxu

    0下载:
  2. 4位乘法器,4位除法器,K倍频的VHDL实现-Four multipliers, four dividers, K multiplier of VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:2268
    • 提供者:郭慧
  1. VHDL-test-code-divider

    0下载:
  2. VHDL实验代码:除法器,是一个基于VHDL语言开发的小程序,是关于除法的算法,比较实用-VHDL test code: divider, is a VHDL-based language developed by a small program, on the division algorithm, more practical
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1529
    • 提供者:Johonson
  1. chufa

    0下载:
  2. 描述一个4位除法器,实现,包含源代码,及其其它说明-Describe a 4-bit divider, and includes source code, and other instructions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:302986
    • 提供者:liuhuacheng
  1. chufaqi

    0下载:
  2. EDA课程设计,实现带符号五位除法器,包含所有源代码及课设报告。-EDA program design, implementation, signed five divider, includes all source code and class design report.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:512761
    • 提供者:
  1. combinational_divider

    0下载:
  2. 参数可配置的除法器verilog源代码,验证通过-verilog soure code for divider with configurable parameters
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:604
    • 提供者:shuanghx
« 1 2 3 4 56 7 8 »
搜珍网 www.dssz.com