CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 驱动开发

搜索资源列表

  1. XILINX-PCI-E-DRIVER

    2下载:
  2. Xilinx公司的FPGA基于V5的开发版PCI-E驱动程序,可用作图像采集卡以及数据处理用-Xilinx s FPGA-based V5 Developer Edition PCI-E drivers can be used as a frame grabber, and data processing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-27
    • 文件大小:2928640
    • 提供者:王禾呈
  1. Experiment02

    0下载:
  2. VERILOG 下 的 FLASH 驱动 基于 黑金 开发板-FLASH drive under the VERILOG development board based on black gold
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:120664
    • 提供者:吴春宇
  1. Experiment03

    0下载:
  2. VERILOG 下 的 摁键 驱动 基于 黑金 开发板-The VERILOG under the pressed key driver development board based on black gold
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:445568
    • 提供者:吴春宇
  1. lcd-driver

    0下载:
  2. 针对EP2C5-8NIOS开发板的LCD驱动源代码-LCD driver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1663
    • 提供者:环海蛟龙
  1. SDRAMDriver

    0下载:
  2. sdram接口驱动,按照datasheet基本指令顺序开发,极易理解,但功能上存在一定局限性-sdram interface driver, in accordance with the development of the datasheet basic instruction sequence, easily understood, but there are certain limitations on the functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3961
    • 提供者:
  1. uart_top

    0下载:
  2. 串口驱动程序,quartusII9.1开发。-Serial port driver, quartusII9.1 development.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:620324
    • 提供者:李生
  1. S6_MUSIC

    0下载:
  2. FPGA实用程序,测试music乐谱,使用PWM驱动蜂鸣器,开发环境为Quartus II 8.0 (32-Bit),已经测试ok,供大家参考学习-FPGA utility to test music score, the use of PWM drives the buzzer, the development environment for the Quartus II 8.0 (32-Bit), has been tested ok, for your reference learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:225760
    • 提供者:陆泉
  1. VGA_test

    0下载:
  2. 这里主要是用FPGA开发工具QUARTUS II来进行VGA的驱动-VGA fpga quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:1506608
    • 提供者:李斌
  1. OK6410A-LED-Marquee-Button-Driver

    0下载:
  2. 开发板OK6410A,LED灯和按键驱动程序!-Development board OK6410A, LED lights and buttons driver!
  3. 所属分类:Driver develop

    • 发布日期:2017-11-18
    • 文件大小:130149
    • 提供者:z
  1. DE2_PS2_Debug

    0下载:
  2. 这是altera公司的DE2-35开发板下的一个PS2键盘的源程序代码工程,包括PS2驱动等模块有需要的人,可以下载-Altera DE2-35 development board of the company, the source code of a PS2 keyboard works, including the the PS2 driver modules need, you can download
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:15384463
    • 提供者:孙建
  1. DE2_LCM_DISP_sucess

    0下载:
  2. 这是altera公司的DE2-35开发板下的一个液晶显示屏源程序代码工程,液晶显示屏是友晶公司的,包括液晶显示屏的驱动以及显示等模块有需要的人,可以下载 -Altera DE2-35 development board of the company, a liquid crystal display source code engineering, LCD display the Terasic, including LCD driver module and display needs,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-28
    • 文件大小:16352411
    • 提供者:孙建
  1. lcd_dis_ok

    0下载:
  2. lcd液晶显示屏驱动程序,在fpga开发板上使用,欢迎下载使用。-LCD driver lcd fpga development board, welcome to download.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-07
    • 文件大小:1604
    • 提供者:宋盛
  1. washmachine

    0下载:
  2. 一个普通洗衣机控制电路,利用VHDL语言编制,然后利用Altium Designer和NanBoard NB1开发板进行功能的仿真、验证,使之能控制洗衣机的进水阀、排水阀、洗涤程序电机,甩干驱动装置等且按预定程序工作。-An ordinary washing machine control circuit using VHDL language preparation, functional simulation, validation and development board using A
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:1540421
    • 提供者:张水梅
  1. sw_leds

    0下载:
  2. 精简指令cpu设计,外扩电路设计,led开发板驱动-wb_sw_leds,opencore,risc cpu design。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-29
    • 文件大小:663
    • 提供者:浮萍
  1. display-seg

    0下载:
  2. 七段数码管驱动电路,fpga,seg7,altera开发板例子-risc-cpu design,seg7,fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:1840
    • 提供者:浮萍
  1. tftlcd

    0下载:
  2. 正点原子tftlcd的fpga驱动(三个tft*.v),还包括了大西瓜fpga开发板的数码管驱动和一个运行屏保的小功能,quartus6.0下开发。除了初始化代码,其他控制与主流tftlcd兼容。-verilog languge tftlcd driver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-07
    • 文件大小:4832755
    • 提供者:Chen Hao
  1. 61EDA_C2212

    1下载:
  2. 红色飓风II开发板USB2FPGA USB驱动程序,由verilog编写,包括源码和FIFO测试程序-Red Hurricane II development board USB2FPGA USB driver from verilog preparation, including source code and test procedures FIFO
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:3584085
    • 提供者:xueyuan
  1. vertex5_digilent_emac0_1gbps

    0下载:
  2. Digilent公司开发板GENESYS板载1Gbps网口实现驱动程序,实现回环模式的发送。-Digilent development board GENESYS onboard 1Gbps ethernet driver, send the loopback mode
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:7046910
    • 提供者:黄悦
  1. dian_ji

    0下载:
  2. 电机驱动源代码,采用VHDL描述,已在开发板上实现,肯定没问题的。-Motor-driven source code, using VHDL descr iption has been achieved in the development board, and certainly no problem.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1780
    • 提供者:xzb
  1. lcd

    0下载:
  2. 基于VHDL语言的12864液晶显示屏驱动程序。可以显示文字,字符等。开发工具为Quartus-Based on VHDL 12864 LCD driver. Can display text, characters and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:4092
    • 提供者:dunlana
« 1 2 3 4 56 7 »
搜珍网 www.dssz.com