CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - ;-s

搜索资源列表

  1. verilogshejiMiLeJIEMAQI

    2下载:
  2. 用verilog设计密勒解码器 一、题目: 设计一个密勒解码器电路 二、输入信号: 1. DIN:输入数据 2. CLK:频率为2MHz的方波,占空比为50% 3. RESET:复位信号,低有效 三、输入信号说明: 输入数据为串行改进密勒码,每个码元持续时间为8μs,即16个CLK时钟;数据流是由A、B、C三种信号组成; A:前8个时钟保持“1”,接着5个时钟变为“0”,最后3个时钟为“1”。 B:在整个码元持续时间内都没有出现“0”,即连续16个时钟保
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:212699
    • 提供者:mingming
  1. 引爆器

    0下载:
  2. 数字密码引爆器的输入描述:1、 在开始输入密码以前的等待状态,首先要按READY键,表示目前准备就绪,可以输入数字密码;2、 当引爆事件发生后,应该回到等待状态,设置WAIT_T键;3、 如果输入密码不正确,此时要操作READY和WAIT_T是不起作用的,必须由设计人员重新设置到等待状态,设置SETUP键,SETUP为内部按键,操作人员应该不能接触;4、 确定密码输入后,要设计一个点火按键FIRE;-digit passwords detonated's input Descr ipti
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:24876
    • 提供者:刘卫
  1. coswave

    0下载:
  2. 主要是通过Altera公司的Cuclone系列的FPGA-EP1C3T144C8产生余弦波的源代码 基于LPM-ROM余弦波一周期含有256个10位数据;-Mainly through Altera s Cuclone series of FPGA-EP1C3T144C8 cosine wave generated source code based on the LPM-ROM cosine wave of one cycle containing 256 10-bit data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:323437
    • 提供者:江俊
  1. jiaotongdeng

    0下载:
  2. 1). 用红、绿、黄三色发光二极管作信号灯。主干道为东西向,有红、绿、黄三个灯;支干道为南北向,也有红、绿、黄三个灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠到禁行线之外。 2).由于主干道车辆较多而支干道车辆较少,所以主干道绿灯时间较长。当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮红灯,两者交替重复。主干道每次放行50秒,支干道每次放行30秒。 在每次由亮绿灯变成亮红灯的转换过程中间,需要亮5秒的黄灯作为过渡,以使行驶中的车辆有时间
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1935
    • 提供者:靓仔
  1. FPGA_Code_and_training_materials

    0下载:
  2. 压缩包内包含了:FPGA设计初级班和提高班培训课堂PPT;实验的源代码;实验指导书!-Compressed packet contains: FPGA design of the primary classes and training classes improve classroom PPT experiment' s source code experimental guide book!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:44785992
    • 提供者:hehuilong
  1. sourcefile

    0下载:
  2. 在Altera公司的Cyclone系列FPGA开发板上试验的按键中断程序,希望对那些学习中断开发的初学者有帮助。 pio_key.v是verilog编写的按键中断程序,对应四个按键,按其中任何一个键都可以发送一个中断; keyint.c是Nios中编写的C程序,用于检测按键的中断,如果检测到中断,会检测是哪个按键按下,从而执行相应的程序! -In Altera' s Cyclone series FPGA development board interrupt key test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2754
    • 提供者:王陶
  1. qda

    1下载:
  2. 三路智力竞赛抢答器,利用VHDL设计抢答器的各个模块,并使用EDA 工具对各模块进行仿真验证。智力竞赛抢答器的设计分为四个模块:鉴别锁存模块;答题计时模块;抢答计分模块以及扫描显示模块。把各个模块整合后,通过电路的输入输出对应关系连接起来。设计成一个有如下功能的抢答器: (1)具有第一抢答信号的鉴别锁存功能。在主持人发出抢答指令后,若有参赛者按抢答器按钮,则该组指示灯亮,数码管显示出抢答者的组别。同时电路处于自锁状态,使其他组的抢答器按钮不起作用。 (2)具有计分功能。在初始状态时,主持
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:234821
    • 提供者:menglj
  1. S8_VGA

    0下载:
  2. 1。源文件保存在src目录,QII的工程文件保存在Proj目录; 2。程序实现的功能是在VGA显示器上显示彩色条纹,共8种颜色, 可以使用嵌入式逻辑分析仪观测信号; 3。modelsim仿真文件在proj--simulation--modelsim中 4。具体设计参考代码。-1. Source file stored in the src directory, QII stored in the project file directory Proj 2. Program&#
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:628505
    • 提供者:刘飞
  1. 150M

    0下载:
  2. quartus_nios 综合开发平台,可以多中断,重要的是它的cpu可以工作在150M,总线工作在100M×32bit;-quartus_nios comprehensive development platform that can interrupt more important is that it' s cpu can operate at 150M, bus work in 100M × 32bit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-29
    • 文件大小:11462542
    • 提供者:戚栋
  1. xb

    1下载:
  2. 用汉宁窗设计一个FIR高通数字滤波器,满足以下参数要求:通带边界频率ωp=0.7π,通带内衰减函数αp=0.4dB;阻带边界频率Ωs=0.4π,阻带内衰减函数为αs=55dB。-With the Hanning window design an FIR high-pass digital filter to meet the requirements the following parameters: passband edge frequency ωp = 0.7π, pass-band at
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:126104
    • 提供者:xbwu1
  1. checkoutthedate

    0下载:
  2. 该程序的功能是用来查询日期或是知道日期查询星期几的;-The program' s function is used to check the date or the date of check to know a few of weeks
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1598
    • 提供者:zhangcun
  1. Xilinx_question

    0下载:
  2. :ISE5.1i是Xilinx推出的具有ASIC-strength的设计工具,它充分发掘了VirtexⅡPro系列芯片的潜力;Virtex-II Pro 系列芯片的密度是从40,000门到8,000,000门。同4.1i相比,设计人员在编译时所花的时间得到了成倍提高(从100,000/min增加到200,000门/min)并且在器件速度上增加了40 。-: ISE5.1i is a Xilinx introduced a ASIC-strength design tools, which ful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:103250
    • 提供者:backoff
  1. bb

    0下载:
  2. 2选1的数据选择器 实现2选1的电路功能,其真值表和电路符号如下图所示。即当s=1时,输出m=y;当s=0时,输出m=x。 -2 Select a data selector circuit to achieve 2 S 1 function, its truth table and circuit symbols shown below. That is, when s = 1, the output m = y when s = 0, the output m = x.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2139
    • 提供者:潘小丽
  1. ledflower

    0下载:
  2. 1.跑马灯设计 设计要求:控制8个LED进行花式显示,设计4种显示模式:S0,从左到右逐个点亮LED;S1,从右到左逐个点亮LED;S2,从两边到中间逐个点亮LED;S3,从中间到两边逐个点亮LED。4种模式循环切换,复位键(rst)控制系统的运行与停止- 1. runs the lantern to design <dnt> the </dnt> design requirements: Controls 8 LED to carry on the floral f
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:235417
    • 提供者:雷风
  1. 6

    1下载:
  2. 4位数码扫描显示电路,我们控制一个七段LED需要8个输出端口;如果要输出四位十进制数,就需要32的输出端口,这将占用大量的端口资源。采用串行扫描显示,我们只需要8+4共12个端口即可。其原理是:用一个四位的输出端控制,某一时刻只选中其中的一个LED(输出为‘1’表示选中),八位的输出端将该LED所需要显示的值输出;然后四位的输出端值改变,选中下一个LED。这样依次类推。如果选择的频率很快,达到50Hz以上,由于人眼的视觉暂留效应,看起来就像4个LED同时显示。 设计一个程序,输入四个一位十
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3700
    • 提供者:李小勇
  1. EDA5

    0下载:
  2. 交通灯控制器设计:1.有MR(主红)、MY(主黄)、MG(主绿)、CR(乡红)、CY(乡黄)、CG(乡绿)六盏交通灯需要控制; 2.交通灯由绿→红有4秒黄灯亮的间隔时间,由红→绿没有间隔时间; 3.系统有MRCY、MRCG、MYCR、MGCR四个状态; 4.相间公路右侧各埋有一个传感器,当有车辆通过相间公路时,发出请求信号S; 5.平时系统停留在MGCR状态,一旦S信号有效,经MRCY转入MRCG状态,但要保证MRCG状态也不得短于一分钟; 6.一旦S信号无效,系统脱离MRC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1037675
    • 提供者:周旋
  1. SRAM_WR

    0下载:
  2. 实现对SRAM的读写。具体功能:在DE2开发板上通过键盘SW0-SW3输入数据存入SRAM中,同时LEDR0=LEDR3显示输入数据;SW17控制SRAM的输入与读出,LEDR4-LEDR7显示读出结果。-To achieve the SRAM read and write. Specific features: In the DE2 development board via the keyboard SW0-SW3 input data into the SRAM, while LEDR0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:337809
    • 提供者:
  1. I2C

    0下载:
  2. 语言:verilog 功能:用Verilog HDL编写的I2C主机串行通信的程序。两条总线线路:一条串行数据线 SDA, 一条串行时钟线 SCL;串行的 8 位双向数据传输位速率在标准模式下可达 100kbit/s,快速模式下可达 400kbit/s ,高速模式下可达 3.4Mbit/s;在数据传输过程中,当时钟线为高电平时,数据线必须保持稳定。如果时钟线为高电平时数据线电平发生变化,会被认为是控制信号。 仿真工具:modelsim 综合工具:quartus -Language:
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:8160
    • 提供者:huangjiaju
  1. FSM

    0下载:
  2.  用程序实现状态机功能,有限状态机是指输出取决于过去输入部分和当前输入部分的时序逻辑电路。一般来说,除了输入部分和输出部分外,有限状态机还含有一组具有“记忆”功能的寄存器,这些寄存器的功能是记忆有限状态机的内部状态,它们常被称为状态寄存器。在有限状态机中,状态寄存器的的下一个状态不仅与输入信号有关,而且还与该寄存器的当前状态有关,因此有限状态机又可以认为是组合逻辑和寄存器逻辑的一种组合。其中,寄存器逻辑的功能是存储有限状态机的内部状态;而组合逻辑有可以分为次态逻辑和输出逻辑两部分,次态逻辑的功能
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:573
    • 提供者:李小明
  1. digital-clock

    1下载:
  2. 数字钟是计时仪器,它的功能大家都很熟悉。本实验对设计的电子钟要求为: 1.能够对s(秒)、min(分)和h(小时)进行计时,每日按24h计时制; 2.min和h位能够调整; 3.设计要求使用自顶向下的设计方法。 数字钟的功能实际上是对s信号计数。实验板上可提供2Hz的时钟,二分频后可产生s时钟。数字钟结构上可分为两个部分c计数器和显示器。计数器又可分为s计数器、min计数器和h计数器。s计数器和min计数器由6进制和10进制计数器构成,小时计数器较复杂,需要设计一个24(或12)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:11771
    • 提供者:hanbaoshuai
« 12 »
搜珍网 www.dssz.com