CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 38译码器

搜索资源列表

  1. 38decoder

    0下载:
  2. 使用Verilog硬件描述语言编程的38译码器,包含测试描述
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:69651
    • 提供者:sss
  1. LAB2

    0下载:
  2. 38译码器的设计,使用vhdl设计译码器,可以下载到开发板上看结果
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2393537
    • 提供者:孙冰
  1. decoder_38_vhdl

    0下载:
  2. FPGA 的VHDL实现的38译码器
  3. 所属分类:VHDL编程

  1. VHDL38decoder

    0下载:
  2. VHDL 语言实现 38译码器 文件中包括 程序 源代码 还有 testbench 测试程序-38 decoder VHDL language implementation, including program source code file, there are testbench test procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:835
    • 提供者:刘翼
  1. 38

    0下载:
  2. 程序提供了一种高效简单的38译码器的算法,非常实用-Procedure provides a simple and efficient algorithm decoder 38, a very practical
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:141042
    • 提供者:sh85
  1. 38yima

    0下载:
  2. 本文为用vhdl语言编写的38译码器,为doc格式,请先复制到相应软件例如maxplus中再使用。-This article was prepared by using VHDL language decoder 38 for doc format, please copy to the appropriate software such as maxplus in the re-use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2624
    • 提供者:网天才
  1. travel

    0下载:
  2. 自己做的vhdl课程设计,交通灯:实现主干道倒计时,分别为30,20,5秒,分情况:当主干道有车时,红黄绿交替,当只一个道路上有车时,那个道的交通灯变绿色,利用max+plus2做成,使用flex8000,epf8282alc84_4只用加一个38译码器模块即可,使用别的板子也可以运行-VHDL to do their own curriculum design, traffic lights: the realization of the trunk road countdown, 30,20
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:530094
    • 提供者:安治州
  1. dec3_8

    0下载:
  2. 有VHDL写的一个38译码器,并付仿真波形.-VHDL has written a decoder 38, and pay the simulation waveform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:57754
    • 提供者:陈阿水
  1. 38

    0下载:
  2. 采用CASE语句设计3-8译码器的示例程序-Designed using CASE statement 3-8 decoder examples of procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:596
    • 提供者:赵朴
  1. 38-decoder

    0下载:
  2. 38译码器,和一般的38译码器一样,二进制与十进制的对应-Decoder 38 and decoder 38 in general, as the corresponding binary and decimal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:212833
    • 提供者:蔡宇佳
  1. decoder38

    0下载:
  2. vhdl编写的38译码器 完全文件,打开可用-vhdl decoder written 38 full document, open the can
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:166543
    • 提供者:deyi
  1. decoder38

    0下载:
  2. 基于vhdl的38译码器的实现,很实用的示例程序,物理可实现-decoder 38 based on quartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:278317
    • 提供者:lxb
  1. decode_38

    0下载:
  2. 38译码器,fgpa verilog语言-3-8 decode fpga verilogHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:269772
    • 提供者:万文典
  1. CopyCard

    0下载:
  2. 该程序主要实现多路选择通道。类似于38译码器。-Main achievement of the program multiplexer channels. Similar to the decoder 38.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:646
    • 提供者:hanwei
  1. decode

    0下载:
  2. 38译码器74ls13838译码器74ls138-Decoder 38 decoder 74ls13838 74ls138
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:650
    • 提供者:龚晓研
  1. 3-8-yimaqi

    0下载:
  2. 38译码器程序,采用verilog语言编写,在CPLD开发板上经过验证,希望对大家有用-38 decoder program, using verilog language, proven in the CPLD development board, we hope to be useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:181272
    • 提供者:wanghong
  1. decoder38-ok-38

    0下载:
  2. 基于Quartus II软件实现38译码器功能。-Decoder function to achieve 38
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:116165
    • 提供者:董怡静
  1. 123

    0下载:
  2. 3路输入,8路输出的译码器,利用FPGA,BASYS3板子实现该功能,文件已有源代码,仿真代码和约束文件。(3 way input, 8 way output decoder, using FPGA, BASYS3 board to achieve the function, the document already has source code, simulation code and constraint files.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:9216
    • 提供者:智者。
  1. decoder38-ok-38译码器

    0下载:
  2. 使用quartus2软件的VHDL编写了简单38译码器,希望大家能积极学习(The use of quartus2 software VHDL prepared a simple 38 decoder, I hope we can actively study)
  3. 所属分类:VHDL/FPGA/Verilog

  1. 3_8_decoder_20170407

    0下载:
  2. 一个简单的38译码器程序,内附真值表,在本实验例程程序中用于Cyclone 2。(A simple program for 38 decoder.)
  3. 所属分类:VHDL/FPGA/Verilog

« 12 3 »
搜珍网 www.dssz.com