CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - ADC程序

搜索资源列表

  1. SPWM-based-from-MSP430

    0下载:
  2. 这是TI公司的SPWM波产生程序,基于MSP430单片机,用到了其片内的ADC进行反馈控制占空比-This is TI' s SPWM wave generation process, based on the MSP430 microcontroller, the chip used in the feedback control the duty cycle of the ADC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:39674
    • 提供者:周森未
  1. adc8051

    0下载:
  2. 常用的TI8位ADC芯片AD8051的驱动程序,其他近似的驱动芯片也可以参考-Common TI8 bit ADC chip AD8051 driver, the driver of other similar chips can also refer to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:619
    • 提供者:陈凡
  1. AD_LED

    0下载:
  2. 基于VHDL语言的数码管动态扫描程序,内含ADC0809模数转换器示例-Digital tube dynamic scanning procedure based on the VHDL language , containing ADC0809 ADC sample
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:248862
    • 提供者:licaho
  1. acm_adc

    0下载:
  2. 利用内部ADC核,通过设置通道数和控制通道交换来采集外部信号,其采样精度和通道数可通过程序控制,已经调试在板上已经运行成功了。-To use the internal ADC nuclear exchange by setting the number of channels and control channel acquisition external signal, the sampling precision and number of channels can be programme
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4161
    • 提供者:陈作
  1. ADC_TLC549

    0下载:
  2. 本程序是一个ADC转换程序,程序经过调试,完美运行。芯片TLC5510-this is a ADC program,and it has a very function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1902237
    • 提供者:liuzhongjie
  1. 01.PmodAD1

    0下载:
  2. adc转换状态机演示程序 xilinx FPGA-the adc conversion state machine demonstration program xilinx FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:492680
    • 提供者:李东方
  1. ads1282_code

    0下载:
  2. 用VHDL写的控制TI公司32位高精度ADC的程序,可以可靠运行,已经应用于实际项目-Control TI' s 32-bit precision ADC program written using VHDL, reliable operation, has been applied to the actual project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:479666
    • 提供者:陈耀弘
  1. ARM_CY3

    0下载:
  2. 集合了CYCLONE 3系列功能 完成了窗口 spi adc dac等功能的程序 -Collection program CYCLONE 3 series feature complete window spi adc dac function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-07
    • 文件大小:9746005
    • 提供者:ststs
  1. src_adc

    0下载:
  2. AD采集与DDC、FIRD等滤波vhdl程序,adc为lvds接口-The AD acquisition, DDC, FIRD etc. filtering procedures, adc lvds interface
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:80420
    • 提供者:ww
  1. CS5361_DAT

    0下载:
  2. CS5361 ADC 驱动程序,其中还有时钟部分,这里是数据采集部分. 使用VerilogHDL编写,在Libero中编译,使用Actel芯片测试通过.-CS5361 ADC drivers, of which there are clock parts, here is the data collection using VerilogHDL written, compiled in Libero using Actel chip test.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:1435
    • 提供者:王刚
  1. ADS1271

    1下载:
  2. VHDL的接口程序 24-bit 105ksps ADC 型号是:ADS1271 绝对稳定-VHDL interface program 24-bit 105ksps ADC models are: ADS1271 absolutely stable
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:706
    • 提供者:王孜
  1. ADC_Sample

    1下载:
  2. 本人自己经过实践检验的ADC数据采集程序,通过FPGA采集数据,并用SRAM做缓存,用Verilog编写的,非常好用。-I own proven ADC data collection procedures, data collection through the FPGA and SRAM do with caching, using Verilog prepared, very easy to use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1236992
    • 提供者:孟德
  1. adconfig

    0下载:
  2. 一般AD模数转换器的VHDL配置程序,输出为14位串口输出,状态机实现的。-General AD ADC VHDL configuration program, the output is 14 serial output, the state machine implementation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:750
    • 提供者:黄建华
  1. daconfig

    0下载:
  2. 一般DA模数转换器的VHDL配置程序,输入为14位串口输出,状态机实现的。-General AD ADC VHDL configuration program, the output is 14 serial output, the state machine implementation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:847
    • 提供者:黄建华
  1. ADC_Control

    0下载:
  2. FPGA的ADC CONTROL程序,已在DE2开发板实测,可用。-The FPGA ADC CONTROL program, has been in the DE2 development board test, available.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:785
    • 提供者:张平安
  1. ltc2183

    0下载:
  2. 在FPGA上编写的通过SPI总线配置外部ADC芯片LTC2183的程序,通过板级调试,验证可用。程序通过状态机实现,将需要配置的寄存器值转为SPI总线的数据格式发送出去。 -Configure external ADC chip LTC2183 via SPI bus program on FPGA written by board-level debugging, verification is available. Program through the state machine, you
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:3501
    • 提供者:lszyx344
  1. ADS1278

    1下载:
  2. ADS1278 8通道ADC数据采集程序,AD采样深度24bit,保留16bit输出。状态机编写。-ADS1278 8-channel ADC data collection procedures, AD sampling depth of 24bit, 16bit output reserved. Write state machine.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1006
    • 提供者:郭俊媛
  1. ADS1118

    0下载:
  2. 本设计是基于EP4CE15F17C8N和ADS1118的ADC数据采集和12864显示的程序-The design is based on a program EP4CE15F17C8N and ADS1118 ADC data acquisition and display of 12864
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:322079
    • 提供者:
  1. ADS8323

    0下载:
  2. 本设计是基于EP4CE15F17C8N和ADS8323的16位ADC数据采集和12864显示的程序-The design is based on a program EP4CE15F17C8N and ADS8323 16-bit ADC data acquisition and display of 12864
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-28
    • 文件大小:629760
    • 提供者:
  1. ADC_interface

    0下载:
  2. 在FPGA上编写的通过SPI总线配置外部ADC芯片DADC9653的程序,通过板级调试,验证可用。程序通过状态机实现,将需要配置的寄存器值转为SPI总线的数据格式发送出去。-Configuring External ADC chip DADC9653 through SPI bus program on FPGA written by board-level debugging, verification is available. Program by state machine, will
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3658
    • 提供者:李广
« 1 2 34 »
搜珍网 www.dssz.com