CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - IR remote

搜索资源列表

  1. IR

    0下载:
  2. 红外线接收: 使用任何遥控器(电视、空调等),对准开发板的红外线接收管,按下遥控器的任何按钮,LED0 会按照红外线码进行闪烁。 -Infrared receiver: the use of any remote control (TV, air conditioning, etc.), targeting the development board infrared receiver tube, press any button on the remote control, LED0 wi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:78784
    • 提供者:panda
  1. Infrared

    1下载:
  2. FPGA红外遥控程序,主要是测试程序,完成红外的常规功能-FPGA infrared remote control program, primarily testing procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2154975
    • 提供者:Jacky.king
  1. IRLCD

    0下载:
  2. 红外线收发控制代码,红外遥控+蜂鸣器+继电器。-IR transceiver control code, infrared remote control+ Buzzer+ relay.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:47217
    • 提供者:孟总
  1. IR

    0下载:
  2. 学习遥控接收器解码原理,把按下的数字键在数码管上面显示出来-Learning remote control receiver decoding principle, and pressed the number keys above the digital tube display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2375
    • 提供者:xianwang
  1. 16f630

    0下载:
  2. hi impedance remote control ir circuits
  3. 所属分类:LabView

    • 发布日期:2017-12-02
    • 文件大小:1035590
    • 提供者:naje1980
  1. IR

    0下载:
  2. 是对9016遥控器解码的一个Verilog程序。-A verilog file about the decoding of 9016 remote-control unit.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:4248108
    • 提供者:master
  1. IR

    0下载:
  2. 对应遥控器(9016)的解码程序,用verilog硬件描述语言编写。-Averilog file about the decoding of the remote-control unit of 9016.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-28
    • 文件大小:1699
    • 提供者:master
  1. IR

    0下载:
  2. 这是一个利用FPGA通过红外线控制遥控器的例程,已经在红芯FPGA开发板上测试通过。-This is an example about IR remote control by FPGA, It is tested in the hognxin FPGA development board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:720963
    • 提供者:xiao qiang
  1. ir

    0下载:
  2. 这是一个红外遥控程序,可以遥控LED灯,数码管。语言verilog hdl-This is an infrared remote control program that can be remotely controlled LED lights, digital control. Language verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1649060
    • 提供者:liweidi
  1. IR

    1下载:
  2. 红外遥控器Verilog代码实现,并在数码管上显示,包含详细代码+资料-Infrared remote control Verilog code, and on the digital display, it contains detailed information on the code+
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2258654
    • 提供者:孤风
  1. veriloghdl-Prog-of-IR

    0下载:
  2. 采用verilogHDL语言编程,对4x4键盘进行编码并且调制成红外遥控信号,适用于可编程逻辑器件的红外遥控解码逻辑设计。-Use verilogHDL language programming, to 4 x4 keyboard encode and made the infrared remote control signal, is suitable for programmable logic devices of infrared remote control decoding log
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:130338
    • 提供者:houjihong
搜珍网 www.dssz.com