CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - Key matrix

搜索资源列表

  1. DAY07

    0下载:
  2. verilog 编写的查询法和线反转法举证键盘实例程序-verilog matrix—key
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:464917
    • 提供者:一贯故
  1. key1

    0下载:
  2. 矩阵键盘实验1:向用户介绍矩阵键盘扫描实现的方法,没有考虑去抖和判断键弹起的问题;把相应的键值显示在数码管上-Matrix Keyboard Lab 1: Introduction to the user to achieve the keyboard scan matrix approach, not considered to shake and bounce to determine key issues the corresponding keys on the display in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:827
    • 提供者:riversky
  1. TX_SCI

    0下载:
  2. 简单的串口程序,根据矩阵键盘的输入从串口输出按键值 (0-F)-Simple serial program, according to the input matrix keyboard key value from the serial output (0-F)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1546605
    • 提供者:Domo
  1. KEY4X4

    0下载:
  2. 这是4X4的矩阵键盘扫描程序,直接返回按键值-This is a 4X4 matrix keyboard scanner, return the key value directly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:14394
    • 提供者:陈泉
  1. key

    0下载:
  2. 电子密码锁,4*4矩阵键盘输入,数显液晶模块显示-Electronic locks, 4* 4 matrix keyboard, digital liquid crystal display module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:127223
    • 提供者:jiangweiyu
  1. KeyScan2

    0下载:
  2. 矩阵键盘扫描程序,可分离出单独按键,封装好的函数接口-Matrix keyboard scanner, a separate detachable key, packaged function interface
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:14053
    • 提供者:NaroahLee
  1. keymatrix

    0下载:
  2. assembly key matrix with LCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:755
    • 提供者:sheshesherif
  1. 7seg-and-display

    0下载:
  2. key matrix with lcd using PIC microcontroller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2918
    • 提供者:sheshesherif
  1. keyboard

    0下载:
  2. 矩阵键盘扫描代码,实现0 1 2 3 4 5 6 7 8 9 A b c d E F十六个按键-Matrix keyboard scan code to achieve 0 1 2 3 4 5 6 7 8 9 A bcd EF sixteen key
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:246804
    • 提供者:cecilia
  1. tanchishe

    0下载:
  2. 本实验以设计贪吃蛇游戏为课题。最终结果是以8*8点阵作为本游戏的显示界面,通过按键BTN1,BTN2,BTN3,BTN4分别控制蛇的运动方向,分别为“上下左右”。蛇的长度为六个点阵,另外以一点表示老鼠,当蛇头吃到老鼠时,老鼠则在点阵上改变位置,也就是说通过一个伪随机函数在点阵上产生老鼠的位置,每当蛇头吃到老鼠时,就自动加一分,并在数码管显示。每隔五分钟,在蛇没有吃到老鼠的前提下,老鼠就自动在点阵上改变位置,这样就增加了游戏的趣味性和难度性。- In this experiment, the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1076805
    • 提供者:张三
  1. key

    0下载:
  2. 矩阵键盘扫描程序,通过行扫描和列扫描确定按键位置。-Matrix keyboard scanner,Key position determined by line scan and column scanning.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:643
    • 提供者:hhy
  1. KEY_SCAN

    0下载:
  2. 矩阵键盘,八个键显示0~f,比在数码管上显示-Matrix keyboard, eight key show 0 ~ f, than in digital tube display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:394659
    • 提供者:
  1. KEY_IP

    0下载:
  2. 4X4 矩阵按键的ip核 fpga 测试通过-4 x4 matrix key IP core nuclear test by fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:2339
    • 提供者:zhanghai
  1. Matrix_Keyboard

    0下载:
  2. Verilog编写的4x4矩阵键盘扫描代码,可用QurtursII直接打开工程。具体实现的功能为按下按键,数码管可相应显示0、1、...E、F-Verilog prepared 4x4 matrix keyboard scan code and it s directly available in QurtursII . The concrete realization of the function: key is pressed, the digital tube to the corres
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:258572
    • 提供者:wicoboy
  1. juzhenganjian

    0下载:
  2. FPGA用verilog语言写的4X4的矩阵式按键,应经验证过了!-4X4 matrix key FPGA verilog language written, proven over!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:9122
    • 提供者:桂斌
  1. key

    0下载:
  2. 2*8 点阵键盘扫描 verilog FPGA实验 实现数字显示 移位等功能-2* 8 dot matrix keyboard scan for digital display shift function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-08
    • 文件大小:572973
    • 提供者:阿标
  1. matrix_keyboard

    0下载:
  2. 数码管显示4×4键盘矩阵按键 矩阵键盘对某一按键按下就在数码管显示一个数字-Digital display 44 keyboard matrix key
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:1161
    • 提供者:好好好
  1. 1602Pkeyscan

    0下载:
  2. 基于FPGA的lcd1602以及矩阵按键扫描程序(verilog)-FPGA-based lcd1602 and matrix key scanning program (verilog)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1742185
    • 提供者:文杰
  1. calculator

    3下载:
  2. 基于FPGA DE2开发板的计算器设计。Verilog语言编写。矩阵键盘输入,LCD1602显示。程序包括按键扫描模块、数值处理计算模块和LCD控制写模块等。-Calculator design based on FPGA DE2 development board. language use Verilog. Matrix keyboard input, LCD1602 display. Program includes key scanning module and LCD module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-01
    • 文件大小:3156992
    • 提供者:尹仁超
  1. anjianjuzhen

    0下载:
  2. 在Quartus II 环境下利用Verilog语言编写的按键矩阵和位移同化程序,包含模块化器件和仿真波形-In the Quartus II environment, use Verilog language assimilation key matrix and displacement procedures, including modular devices and simulation waveforms
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:238560
    • 提供者:daijunyu
« 12 »
搜珍网 www.dssz.com