CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - LCD

搜索资源列表

  1. LCDdriver

    0下载:
  2. It is the LCD driver where it can be used on any brand of LCD(mostly)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1390
    • 提供者:jason
  1. LCD_stopwatch

    0下载:
  2. It is stopwatch whereby it display on the LCD.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:942
    • 提供者:jason
  1. FINAL_OUT.VHD

    0下载:
  2. this is a vhdl program to test your LCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:954
    • 提供者:sreeji
  1. shukongdianyabiao

    0下载:
  2. 使用51单片机以及键盘液晶作为人机互动,输入你想输入的电压值,端口就输出相应的二进制数-51 MCU and LCD using the keyboard as a human-computer interaction, input you want to input voltage value, the port on the output of the corresponding binary number
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:55124
    • 提供者:潘存华
  1. lcd

    0下载:
  2. 使用FPGA控制LCD1602,采用VHDL语言描述-Using the FPGA control LCD1602, use of VHDL language to describe the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1595
    • 提供者:任云杰
  1. sessionspage.asp_files

    0下载:
  2. LCD program for fpga projects
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:55115
    • 提供者:Sara
  1. VGAVesaDdc_pinout_files

    0下载:
  2. vhdl code for using lcd in an fpga project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:46144
    • 提供者:Sara
  1. db15-vga-pinout_files

    0下载:
  2. vhdl code for using lcd in a fpga project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:84489
    • 提供者:Sara
  1. ourdev_247126

    0下载:
  2. his design converts DVD video into a format suitable for display on a CRT/LCD monitor. A DVD video source, such as a DVD player, should be connected to the VIDEO IN port on the DE2-70 board. A CRT/LCD monitor should be connected to the VGA port. The
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:161952
    • 提供者:路啄米
  1. spimaster_latest.tar

    0下载:
  2. 经过验证的LCD控制器的代码,含testbench和说明文档-Proven LCD controller code, including testbench and documentation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2555026
    • 提供者:吴争争
  1. charLCD

    0下载:
  2. 字符lcd程序 vhdl语言 quartus环境下实现-Character lcd program vhdl language quartus environment to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:313602
    • 提供者:PETER
  1. lcm_st7920

    0下载:
  2. EP2C8 FPGA下的ST7920控制器LCD的程序.-EP2C8 FPGA under the ST7920 controller LCD process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1063359
    • 提供者:
  1. NIOS2lcd320240pdf

    0下载:
  2. 这是一篇介绍在NIOS处理器中使用液晶显示器的PDF文档说明。例子中使用的是320240LCD。-This is a descr iption used in the NIOS processor, LCD display PDF documents instructions. Example, using 320240LCD.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:182362
    • 提供者:ql
  1. lcd_test

    0下载:
  2. 可以用来测试nios LCD的使用,代码详细,已测试成功-Nios LCD can be used to test the use of the code in detail, have been tested successfully
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:400228
    • 提供者:王亚娟
  1. cpld_TFT43_lcd_src

    0下载:
  2. cpld上的lcd模块源代码,很有用的-cpld on the lcd module source code, very useful Dongdong
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:691585
    • 提供者:kenshin
  1. wtut_vhd

    0下载:
  2. examples lcd control clock freq
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:44217
    • 提供者:prabin
  1. lcdcontrol

    0下载:
  2. 液晶的控制,有VHDL语言实现-lcd control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:340720
    • 提供者:wu
  1. CM12864

    0下载:
  2. cm12864液晶显示器的vhdl驱动代码,基于状态机的转换,实现显示功能。-descripe by the VHDL to drive the LCD cm12864,based on the FSM convertor,achieve the display function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1296
    • 提供者:梁重
  1. LCDPS2

    0下载:
  2. 基于nios ii 驱动altera de1开发板上的lcd和ps2鼠标模块工程-based on the nios ii drive the lcd and ps2 module of altera de1 develop board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-30
    • 文件大小:13130065
    • 提供者:梁重
  1. LCD

    0下载:
  2. 用VHDL写的一个显示程序,希望能帮上大家的忙,嘿嘿。-Written in VHDL, a display procedure, hoping that would help everyone a favor on the Hei hei.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:464463
    • 提供者:shuwei
« 1 2 ... 20 21 22 23 24 2526 27 28 29 30 ... 50 »
搜珍网 www.dssz.com