CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - LCD

搜索资源列表

  1. lcd_test

    0下载:
  2. Xilinx Spartan-3E实验板上基于verilog控制lcd屏幕A到Z反复轮转显示。-Xilinx Spartan-3E verilog based test control board lcd screen A to Z repeated rotary display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:999074
    • 提供者:陈海凯
  1. tftdot

    0下载:
  2. 我用verilog hdl写的tft lcd屏的控制程序,用来点亮屏上的任意点-I write the program in verilog hdl,it is used to control the tft lcd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:872
    • 提供者:张颜
  1. LCD

    0下载:
  2. 用vhdl语言编写的lcd液晶屏1602驱动程序,直接下载运行即可。-lcd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:629047
    • 提供者:王双
  1. fpga_displayer

    0下载:
  2. 用FPGA实现的LCD现实代码,TFT lcd Controller难得资料,下载不下载由你?-FPGA Implementation of LCD with real code, TFT lcd Controller valuable information, downloads do not download to you?
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:18574
    • 提供者:林显
  1. LCD

    1下载:
  2. LCD1602的程序,只需改一改显示常量就可以!在ISE中调试成功-LCD1602 process, just simply show the constants can be! Successful commissioning of the ISE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2457
    • 提供者:zyb
  1. PSP

    0下载:
  2. 基于FPGA的TFT液晶驱动控制器设计源代码-FPGA-based TFT LCD driver controller source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:871677
    • 提供者:chenyou
  1. ps2键盘接口

    1下载:
  2. 基于Xilinx Spartan3E的ps/2键盘接口,能够把键值传送到FPGA上并在LCD上显示-Xilinx Spartan3E based on the ps/2 keyboard interface, be able to send to the FPGA on the keys and LCD display
  3. 所属分类:VHDL编程

    • 发布日期:2012-11-19
    • 文件大小:507167
    • 提供者:darkblue
  1. DE2_demonstrations

    0下载:
  2. DE2开发板上的资料,主要是他的例子,含有各种接口程序,如VGA,USB,LCD等-DE2 development board information, mainly his example, contain a variety of interface program, such as VGA, USB, LCD, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-04-20
    • 文件大小:44078966
    • 提供者:翁文天
  1. VHDLprogram

    0下载:
  2. VHDL的程序包,包括LED控制,LCD控制、DAC0832接口电路、URAT、FSK\PSK\MASK调制、波形发生器等。适合工程参考-VHDL package, including the LED control, LCD control, DAC0832 Interface Circuit, URAT, FSK \ PSK \ MASK modulation, such as waveform generator. Reference for the project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1133924
    • 提供者:
  1. S9_PS2_LCD

    0下载:
  2. 键盘输入液晶模块显示字符,在液晶显示屏上显示从PS2键盘输入的字符-Keyboard input LCD display module characters displayed in the LCD screen from the PS2 keyboard input characters
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:766503
    • 提供者:zl.yin
  1. formatter

    0下载:
  2. Actel 基本VHDl模块源代码,包括BCD、LCD、PLL等-Actel basic VHDL source code modules, including BCD, LCD, PLL, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1480
    • 提供者:曾捷
  1. S4_LCD_VHDL

    0下载:
  2. 基于ep1c6的vhdl的lcd控制程序实例 -Based on the VHDL ep1c6 the lcd control procedures examples
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:323218
    • 提供者:ones
  1. display_control

    0下载:
  2. 一个LCD控制器的verilog源代码,可以方便的控制TFT LCD!-An LCD controller Verilog source code, can easily control TFT LCD!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:2021
    • 提供者:shi
  1. 10_LCD_GRAPH

    0下载:
  2. This is controler graphic LCD in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5289
    • 提供者:darek
  1. lcd

    0下载:
  2. LCD显示实验,如果有想要的,可以看看!-LCD display experiments, want to see!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6025
    • 提供者:帅哥
  1. lcdfinal

    0下载:
  2. LCD显示,用verilog写的,quartus-LCD display,verilog,quartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:510572
    • 提供者:amy
  1. 4X4

    0下载:
  2. 基于CPLD的4X4键盘输入+液晶显示程序,以VHDL语言书写-CPLD based on the 4X4 keyboard input+ LCD procedures to VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:63242
    • 提供者:昌平荣
  1. LCD_Driver

    0下载:
  2. this a LCD Driver VHDL code -this is a LCD Driver VHDL code
  3. 所属分类:VHDL编程

    • 发布日期:2013-11-23
    • 文件大小:2374
    • 提供者:nakata
  1. LCD

    0下载:
  2. TS1602 LCD 显示的例子,包括,LCD的初始化,显示一行文字,清屏-TS1602 LCD display examples, including, LCD initialization, showing a line of text, the Qing Ping
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1776
    • 提供者:long
  1. lcd_control_rtl_v3

    0下载:
  2. LCD display driver for xilinx fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3902
    • 提供者:Digitalkurt
« 1 2 3 45 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com