CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - LED点阵 程序

搜索资源列表

  1. 16128-LED

    0下载:
  2. 用16*128点阵屏实现时间和温度的显示,此程序非常实用!请尊重版权,不要用于商业目的!-16* 128 dot matrix screen with the realization of time and temperature display, this program very useful! Please respect copyright, do not use for commercial purposes!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:101888
    • 提供者:武明
  1. 32X32LED

    1下载:
  2. 基于verilog语言编写的32X32LED点阵的字符显示程序-use the verilog to test the 32X32 led
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-12
    • 文件大小:332977
    • 提供者:阮开明
  1. LED.dot.matrix.display

    0下载:
  2. LED点阵显示器 利用LP-2900实验仪Altera模块上的PLD器件,编写VHDL程序,设计一个扫描控制电路,在H区的8*8型LED点阵上实现点阵的逐点显示,扫描显示的顺序是光点从左上角像素点开始,终止于右下角像素点,然后周而复始地重复运行下去。扫描全部区域大概所需时间为13s。-LED dot matrix display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:80804
    • 提供者:duopk
  1. 3128(vhdl)

    0下载:
  2. 里面均为用VHDL写的一些经典小程序,经过了验证均能很好的运行,一下为这些小程序的清单,希望能给大家能带来帮助: t1流水灯 t2 蜂鸣器实验 t3 拨码开关实验 t4 PWM控制LED亮度程序 t5 状态机实现流水灯 t6 静态数码管显示 t7 按键0-99计数程序 t8 红外实验 t9 0—99计数实验 t10 矩阵键盘显示 t11点阵 t12 PS2键盘识别 t13 ADC0804模拟量转化数字量实验 t14电子钟 t15 串口
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4148424
    • 提供者:熊文吉
  1. LEDdianzhenxianshi

    0下载:
  2. 吉大短学期CPLD实习程序 能够完成汉字的循环显示,显示的速度能够通过时钟信号加以控制。 在16×16 LED 点阵上显示汉字-Chittagong short term internship program CPLD to complete the cycle of Chinese characters display, the display speed can be controlled by the clock signal. In the 16 × 16 LED dot mat
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:148305
    • 提供者:吴琦轩
  1. dianzheng

    0下载:
  2. 33 8×8LED点阵屏仿电梯数字滚动显示 -33 8 × 8LED dot matrix screen digital imitation of the elevator 33 8 × 8LED scrolling dot matrix display screen scrolling digital display fake elevator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:22790
    • 提供者:华生
  1. LEDdianzhenxianshi

    0下载:
  2. 8*8 led 点阵显示,可以显示数字、字母,程序简单容易理解-the display program of 8 and 8 led ,it can show Letters and numbers,the program is easy ane understand easily
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:22408
    • 提供者:刘浩
  1. 116128LEDDS136

    0下载:
  2. 16128的LED点阵,程序和原则的地图平, DDs1302 18 B20,可以直接使用。 -16128 dot matrix LED, procedures and principles of map level, DDs1302 18 B20, can be used directly.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:101997
    • 提供者:
  1. A158883834166b

    0下载:
  2. 关于16行64列的LED点阵,能够左右移动,程序源码简简单易懂易懂,希望大家来下载 可直接使用。 已通过测试。 -About 16 rows of 64 LED dot matrix, be able to move around the program source code, the simple and easy to understand and easy to understand, want to download can be used directly. Has been t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:75780
    • 提供者:口音
  1. LED

    0下载:
  2. VHDL语言编写的LED点阵程序,自己已经调试通过。-LED dot matrix VHDL language program, they have to debug through.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:271552
    • 提供者:吴达
搜珍网 www.dssz.com