CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - PWM 占空比 调节

搜索资源列表

  1. 15-PWM

    0下载:
  2. pwm 调光 不同脉宽的pwm调节脉宽,通过占空比来调节二极管的亮度-The light pulse width different PWM PWM adjust pulse width, through the accounting for adjusting the brightness of the diode than empty
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:22752
    • 提供者:黄浦江
  1. PWM

    0下载:
  2. pwm小程序 可以用于调节电压,输出不同的占空比的PWM波形-the pwm applet can be used to adjust the voltage, the output duty cycle of the PWM waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:50632
    • 提供者:朱一
  1. pwm

    0下载:
  2. 很详细的pwm控制电机内容,可调节占空比,调节精度可在程序中更改-Detailed pwm control motor, adjustable duty cycle, the regulation accuracy can be changed in the program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1448672
    • 提供者:jqch
  1. PWM

    0下载:
  2. 在verilog开发环境下针对pwm信号的占空比的调节的编写调试!-In the development environment for verilog pwm signal duty cycle regulated write debugging!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:526755
    • 提供者:邓智友
搜珍网 www.dssz.com