CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - SPI接口

搜索资源列表

  1. spi

    0下载:
  2. 用vhdl编写的spi接口程序,在epm7128上仿真成功。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:916
    • 提供者:邓立新
  1. spi

    0下载:
  2. 一篇比较好的spi接口的vhdl实现的参考
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:18947
    • 提供者:杨子树
  1. spi slave

    0下载:
  2. SPI 接口的VHDL和Verilog实现。slave模式
  3. 所属分类:VHDL编程

    • 发布日期:2012-02-11
    • 文件大小:4132
    • 提供者:szsz06@126.com
  1. SD_SPI sd卡spi接口的verilog程序

    1下载:
  2. sd卡spi接口的verilog程序,quartus2,全部调好能已经应用于SD卡模块。-sd card spi interface verilog program, quartus2, all tuned to have been used in SD card module.
  3. 所属分类:VHDL编程

    • 发布日期:2013-09-27
    • 文件大小:2700387
    • 提供者:洪传荣
  1. 同有SPI接口的器件进行通信对SPI接口器件的读写控制vhdl源程序

    0下载:
  2. vhdl实现spi可以同有SPI接口的器件进行通信对SPI接口器件的读写控制vhdl源程序,fpga cpld-vhdl spi can achieve devices with a SPI interface to communicate with devices on the SPI interface to read and write vhdl source code control
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:5759
    • 提供者:站长
  1. spi接口程序,用VHDL写的

    0下载:
  2. spi接口程序,用VHDL写的,大家,spi interface program, written by VHDL, we
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:3411
    • 提供者:黄坚
  1. SimpleSpi

    0下载:
  2. SPI接口VHDL代码,内有说明,很详细.-SPI interface VHDL code, which has made it clear that, in great detail.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:180678
    • 提供者:dushibiao
  1. SPI

    0下载:
  2. VHDL语言编写的SPI通信接口,可实现与单片机等外部MCU的通信,且只占用较少的引脚线-Written in VHDL SPI communication interface, can be realized with the microcontroller and other external MCU communication, and only takes less pin line
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:585586
    • 提供者:ldong1989
  1. spi

    1下载:
  2. SPI总线接口的verilog源码,包含仿真模块modelsim 和 quartus 工程。本人测试通过。-SPI bus interface in verilog source code, including the simulation module modelsim and quartus project. I test.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:291062
    • 提供者:阿虎
  1. VHDL-SPI-Module.doc

    0下载:
  2. 本spi参数化通讯模块是一个支持SPI串行通信协议从协议的SPI从接口。可通过改变参数设置传输的位数,由外部控制器给定脉冲控制传输。-The parameters of spi communication module is a support SPI serial communication protocol from the agreement from the SPI interface. By changing the parameter settings can be transmit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:38463
    • 提供者:
  1. spi

    0下载:
  2. Verilog语言写的SPI接口(层次化设计,便于升级)-The implememt of SPI interface using Verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:43407
    • 提供者:guorui
  1. spi_master_phy

    0下载:
  2. 这是spi接口传输的一部分内容,本源码一共三部分,功能:spi接口的的实现即对外设的读写数据-This is the spi interface transfer part of the contents of a total of three parts of this source, function: spi interface that the realization of the read and write data to the peripheral
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2557
    • 提供者:guoguo
  1. SPI

    1下载:
  2. 基于verilog语言的 SPI接口实现. 有很好的说明.-Verilog language based SPI interface. Have a good descr iption.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1914880
    • 提供者:lisi
  1. spi

    0下载:
  2. SPI接口函数,实现双向通讯,速度12Mbps-SPI interface functions, two-way communication, speed of 12Mbps
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1877
    • 提供者:shixu
  1. 20-SPI

    0下载:
  2. 采用EPM1278CPLD,通过verilog语言实现SPI接口的通信-By EPM1278CPLD, through the SPI interface verilog language communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:625999
    • 提供者:王东升
  1. SPI-Flash

    0下载:
  2. 基于Xilinx-SPartan 3an FPGA 的与单片机 SPI 接口 参考设计-SPI interface base of Xilinx Spartan 3AN kid
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2592673
    • 提供者:saladin
  1. Desktop

    0下载:
  2. 基于FPGA的压力传感器spi接口源码 测试通过(code for FPGA and MPL115a1-SPI INTERFACE)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:293888
    • 提供者:liejin
  1. FPGA与SPI接口程序(hdl源代码)

    1下载:
  2. FPGA,VERILOG,SPI串口通信;(FPGA,VERILOG,SPI;;;;;;;;;)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-03
    • 文件大小:2048
    • 提供者:dl121
  1. spi

    0下载:
  2. 通过SPI接口给一段位宽16位长度为8的配置寄存器进行赋值。位宽为16 表示存储的数据信息位数为16,长度为8,则代表的是寄存器的深度为8。 在输入第一位数据时,定义一个计数器count,以判断目前接收了几个数据。当接收到第8位时,后六位为地址,前两位用于判断,10表示读操作,11表示写操作,进入读写操作后仍需计数,以便判断何时读完或写完,当count=24时为读写操作完毕。(Through the SPI interface to a 16 bit length 8 configuratio
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:1024
    • 提供者:雪宝y
  1. FPGA实现SPI接口(包括主机和从机程序) (1)

    0下载:
  2. FPGA实现SPI接口(包括主机和从机程序)(The FPGA to achieve SPI interface (including host and from machine program))
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:251904
    • 提供者:superzns
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com