CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - binary arithmetic

搜索资源列表

  1. magnitude

    0下载:
  2. Verilog HDL: Magnitude For a vector (a,b), the magnitude representation is the following: A common approach to implementing these arithmetic functions is to use the Coordinate Rotation Digital Computer (CORDIC) algorithm. The CORDIC algori
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:12917
    • 提供者:郝晋
  1. index

    0下载:
  2. 实现二进制长串的算术右移的操作。希望有点参考价值。可以直接运行,多提意见咯。。。谢谢`-achieve long strings of binary arithmetic right side of the operation. Want a little reference value. Direct operations and opinions 1,10. . . Thank you, `
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:16458
    • 提供者:杨海平
  1. 8CPU

    0下载:
  2. 指令寄存器:在触发时钟的正沿触发下,寄存器将数据总线送来的指令存入寄存器; 累加器用于存放当前的结果,它也是双目运算的一个数据来源; 算术逻辑运算单元根据输入的8种不同操作码实现相应的加、与、异或、或等8种基本操作运算; 状态控制器实际上就是一个状态机,它是CPU的控制核心,用于产生一系列的控制信号,启动或停止某些部件。CPU何时进行读指令、读写I/O端口、对ROM数据的读取等操作,都是由状态机来控制的; -Instruction Register: The trigger is
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:24984
    • 提供者:王金
  1. summator

    0下载:
  2. 加法器是产生数的和的装置。常用作计算机算术逻辑部件,执行逻辑操作、移位与指令调用。在电子学中,加法器是一种数位电路,其可进行数字的加法计算。在现代的电脑中,加法器存在于算术逻辑单元之中。 加法器可以用来表示各种数值,如:BCD、加三码,主要的加法器是以二进制作运算。加法器可以用组合逻辑电路实现也可以用VHDL语言实现。-Adder is generated and the number of devices. Arithmetic logic unit is used as a computer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:27122
    • 提供者:王伟
  1. fudian

    0下载:
  2. 用VHDL语言实现8为浮点二进制小数四则运算-8 with the VHDL language binary floating-point decimal arithmetic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:779503
    • 提供者:Tracy
  1. jf

    0下载:
  2. verilog编写的alu模块4bit ALU(运算逻辑单元)的设计 给出了此次设计alu的输入输出结构及相应的位数。其中C0是一位的进位输入,A和B分别是4位的数据输入,S0、S1、M分别为一位的功能选择输入信号;Cout是一位的进位输出,F是4为的运算结果输出-Verilog modules prepared by the ALU4bit ALU (arithmetic logic unit) design is given in the design of alu input and ou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:839
    • 提供者:王川
  1. adder1

    0下载:
  2. 3位二进制运算及数码管扫描显示电路,其中采用原理图描述方式-3 binary arithmetic and digital display circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:433201
    • 提供者:方威
  1. jsq

    0下载:
  2. 基于FPGA的计算器,可以实现加减乘除运算功能,由于时间问题,浮点运算未能实现,其中的二进制与BCD码相互转换的模块可以使用-FPGA-based calculator, arithmetic calculation function can be achieved, due to time issues, floating-point operations failed to achieve, including binary and BCD code conversion modules t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1220427
    • 提供者:
  1. plus1

    1下载:
  2. 3位二进制运算器及其数码管扫描显示电路3 binary arithmetic and digital scanning display circuit-3 binary arithmetic and digital scanning display circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:28585
    • 提供者:Conny Lu
  1. AnJian_1602

    0下载:
  2. 计算器设计。采用了现场可编程逻辑器件FPGA设计,并基于VHDL语言实现加减乘除功能,并用十进制显示在数码管上。计算部分为加法器、减法器、乘法器和除法器组成。使用Altera公司的QuartusII开发软件进行功能仿真并给出仿真波形,并下载到试验箱,用实验箱上的按键开关模拟输入,用数码管显示十进制计算结果。通过外部按键可以完成四位二进制数的加、减、乘、除四种运算功能,其结果简单,易于实现。-Calculator design. Using a field programmable logic d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-31
    • 文件大小:13138994
    • 提供者:陈勒
搜珍网 www.dssz.com