CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - can verilog

搜索资源列表

  1. uart-jiazhen

    0下载:
  2. 用 verilog 编写的串口通信程序,编译通过,代码完整,非常好用下载就可用,全力推荐新手使用-Using verilog prepared by the serial communication program, compile, code integrity, very easy to use you can download to use, fully recommended for newbies
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:3500698
    • 提供者:
  1. fpgaaverilogamaxamin

    0下载:
  2. verilog 编写的比较最大值最小值得的程序,而且能够求出最大最小值在ram中存储的位置,测试通过下载即用-Comparison of the maximum write verilog smallest worthwhile program, and minimum and maximum values ​ ​ can be obtained is stored in ram position, the test that is used by downloading
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:3364
    • 提供者:
  1. TFT_LCD

    0下载:
  2. TFTLCD ILI9325的nios程序 verilog编写可以在板子上运行-TFTLCD ILI9325 the nios verilog program can run on the board to write
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:14058318
    • 提供者:lida
  1. final_system

    0下载:
  2. verilog实现串口收发,发送本人的学号哈,可以拿来参考一下的,电子科技大学数字设计课程。-Serial transceiver verilog send my student number Ha, can be used to refer to, electronic, digital design courses University of Technology.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:3931940
    • 提供者:lianggui
  1. VGA_interface

    0下载:
  2. 采用FPGA控制VGA的借口,采用Verilog编写,Quartus II编译,恰当配置后开发板可以与显示器相连显示图像-Using FPGA to control VGA excuse, Verilog prepared, Quartus II compilation, the proper development board can be configured to display an image attached to the monitor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:436168
    • 提供者:Eason
  1. DES

    0下载:
  2. 该源码采用DES加密标准,采用Verilog编写,时钟为50M,可以扩展为硬件级加密系统-The source uses DES encryption standard, Verilog prepared, the clock is 50M, can be extended to hardware-level encryption system
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:16216064
    • 提供者:Eason
  1. I2C

    0下载:
  2. iic协议 用verilog hdl语言,可以在xilinx ise软件 编译 综合-iic agreement verilog hdl language can be compiled in xilinx ise software integrated
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-10
    • 文件大小:1016
    • 提供者:Andy
  1. std_ovl_v2p7_Feb2013

    1下载:
  2. 目前最新的OVL库,里面是标准的ASSERTION模块,支持VHDL刚Verilog,最近在做AXI协议验证的时候用到,分享下-The latest OVL(open verification library),including all standard module of assertions(VHDL and Verilog). It can be used into AXI Protocl Verification. Just share with you guys.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-08
    • 文件大小:5020634
    • 提供者:张无忌
  1. nand_model

    0下载:
  2. Nand Flash的Verilog代码,可以用于对nand flash操作的仿真-Verilog code of Nand Flash. It can be used for nand flash operate simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:67463
    • 提供者:张无忌
  1. Serial_port_modul

    0下载:
  2. 串口通讯的Verilog程序,用于FPGA控制串口进行数据发送,接收,包含一个串口模块和一个进行调试的主控模块,主控模块可以随意自我设置,串口模块是固定的,全部程序都经过调试,都带有注释,很清晰。-Verilog serial communication program for FPGA control serial data to send, receive, including a serial debugging module and a control module, control m
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:64187
    • 提供者:展开
  1. digital-timer

    0下载:
  2. 数字时钟的verilog代码,以仿真编译通过,可直接用-Digital clock verilog code which is compiled and simulated and can be directly used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:167672
    • 提供者:谢文斌
  1. EX4

    0下载:
  2. 基于可编程器件设计的一个电路,可以实现矩阵键盘的连续输入和数码管的移位显示功能。 1)连续按下按键0~9 、A~E,数字和字母将依次显示在4 位数码管上。 2) 按下F 时,数码管上不显示 F,当前显示的数字按一定频率闪烁。直至按下其他数字和字母后,再次稳定显示4 个数字。以此类推。 3)任何时刻按下实验板上的RST 键,可将电路清零. -Verilog, QuartusII run correctly, can be downloaded to the FPGA. Could
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2466129
    • 提供者:YZT
  1. vga_640x460_spirte

    0下载:
  2. 使用Verilog语言编写的vga显示条纹的程序,可以在显示器上显示彩带,在Xilinx Spartan-6上运行通过,是很好的Verlog程序-Using Verilog language program vga display stripes, ribbons can be displayed on the monitor in the Xilinx Spartan-6 run through, is a very good program Verlog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:7095
    • 提供者:于洋
  1. bram_16x8_top

    0下载:
  2. 使用Verilog语言编写的RAM程序,可以双向读写,在Xilinx Spartan-6上运行通过,是很好的Verlog程序-RAM using Verilog language program, you can bi-literacy, in the Xilinx Spartan-6 run through, is a very good program Verlog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:8771
    • 提供者:于洋
  1. uartverilog

    0下载:
  2. VERILOG HDL下写的串口驱动程序,经验证可以正常实现串口功能-VERILOG HDL to write serial driver, proven functionality can normally achieve serial
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5210719
    • 提供者:余小新
  1. Music_Player

    0下载:
  2. 基于Verilog的音乐播放器程序,在Modelsim6.5上仿真通过并可以在开发板上运行-Verilog-based music player program, in Modelsim6.5 through simulation and can be run on the development board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2369715
    • 提供者:朱恒
  1. multisim

    0下载:
  2. 多路复用器的verilog代码。可以实现简单的多路复用功能-Multiplexers verilog code. Can achieve a simple multiplexing function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:89680
    • 提供者:tang
  1. Seven-voting-machines

    0下载:
  2. 用verilog编写的七人表决器代码·可以实现七人表决超过四人就通过的功能-Written in verilog seven voting machine code can be achieved seven people to vote on the adoption of more than four functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:47581
    • 提供者:tang
  1. Three-eight-decoder

    0下载:
  2. 可以实现三八译码器功能的verilog代码-Can achieve thirty-eight verilog code decoder function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:54240
    • 提供者:tang
  1. delta-sigma-DAC

    0下载:
  2. 根据FPGA的∑-Δ D/A转换器的设计与实现策略,∑-Δ DAC的内部仅由2个10位的二进制加法器,1个10位的锁存器和一个D触发器组成,用FPGA实现时只需耗费极少的逻辑资源,即使用最小的FPGA也能实现。这是∑-Δ DAC实现的verilog语言-According to the FPGA Σ-Δ D/A converter design and implementation strategies, Σ-Δ DAC' s internal only by the two 10-bit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1333600
    • 提供者:王凌
« 1 2 ... 38 39 40 41 42 4344 45 46 47 48 49 50 »
搜珍网 www.dssz.com