CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - can verilog

搜索资源列表

  1. multi-CPU

    0下载:
  2. Verilog开发的能下载到FPGA实验板上运行的多周期CPU-Verilog can be downloaded to the FPGA development board running experiments multi-cycle CPU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2048718
    • 提供者:gtx
  1. myuart

    0下载:
  2. 使用verilog语言编写的异步串口模块,带有16级深的FIFO,它与DSP28335的SCI相似,可以帮助初学者更快地理解FPGA和DSP的硬件结构和编程思路-Use verilog language of asynchronous serial port module, FIFO with deep level 16, it was similar with DSP28335 SCI, can help beginners to understand faster the FPGA and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:492358
    • 提供者:夏小保
  1. zs

    1下载:
  2. 基于fpga的数字频率计,verilog编写,可修改闸门宽度0.1s/1s/10s,可测频率1hz~1mhz,包含整个工程,内部分频模块为了仿真方便改小了,后面注释为50mhz晶振下的分频值,可根据需要自行修改-Fpga-based digital frequency meter, verilog prepared to modify the gate width 0.1s/1s/10s, measurable frequency 1hz ~ 1mhz, contains the entire
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:895437
    • 提供者:郎亚洲
  1. nexis1

    0下载:
  2. 用Verilog HDL 状态机实现的驱动数码管显示,是个很不错的模块,可以直接用-Using Verilog HDL state machine driven digital display, is a very good module, can be directly used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:630324
    • 提供者:zhangkui
  1. TLC5620v

    0下载:
  2. 本程序是用verilog 状态机编写的tlc5620的驱动程序,可以直接调用-The program is written in verilog tlc5620 state machine driver, you can directly call
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:490706
    • 提供者:zhangkui
  1. 11_lcd1602

    0下载:
  2. 本程序是用verilog 状态机编写的lcd1602的驱动程序,可以直接调用-The program is written in verilog lcd1602 state machine driver, you can directly call
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:863391
    • 提供者:zhangkui
  1. spi_verilog

    0下载:
  2. 使用verilog编写的spi传输模块,已经通过验证,有仿真文件,可以传输信息。-Prepared using verilog spi transmission module, has been validated with simulation files, you can transfer information.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:5025
    • 提供者:熊峰
  1. elevator_v2

    0下载:
  2. 用verilog语言描述的模拟单电梯的运行过程。方向优先原则。(1)每层电梯入口处设有上下请求按钮(一楼只有上请求,6楼只有下请求),电梯内设有顾客到达层次的停站请求开关。 (2)电梯入口处设有电梯当前所处楼层指示装置及电梯运行模式(上升或下降)指示装置。 (3)电梯每2秒升(降)一层楼。 (4)电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开门3秒后,电梯进入关门中状态,提示乘客可以按下延迟关门按键,此时指示灯闪烁,2秒后电梯门关闭,电梯继续进行,直至执行完最后一个
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3192341
    • 提供者:饶全成
  1. chenyu--chengxu

    0下载:
  2. 用verilog语言编写的串口通信程序,可以作为一个地址选择控制器使用,实现和不同的串口设备通信-Using verilog language serial communication program, can be used as an address selection controller, implementation and communication of different serial devices
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:484224
    • 提供者:张思文
  1. cordic

    0下载:
  2. 用Verilog写的CORDIC算法程序,经验证完全能实现-Using Verilog to write CORDIC algorithm, proven it can achieve the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1034
    • 提供者:conley
  1. fenpin

    0下载:
  2. verilog语言编写的分频程序,可以通过defpram实现任意整数任意占空比分频,有详细注释-divider verilog language program can be achieved through defpram arbitrary integer divide any duty, detailed notes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:325686
    • 提供者:蔡琛
  1. daba

    0下载:
  2. 采用verilog 语言编写的打靶程序,配合黑金四代开发板,可以VGA屏幕上显示闪烁打点。打点坐标可以自我设置,也可以由外设用给。-Using verilog language targeting program, with four generations of black gold development board, VGA screen flashes RBI. RBI coordinates can be self-set can also be used by the periphe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4848430
    • 提供者:fsr
  1. LPM_RAM

    0下载:
  2. verilog 参数可设置调用模块RAM-verilog parameter can be set to call the module RAM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:146639
    • 提供者:water
  1. xiaoshu

    0下载:
  2. 基于Verilog的小数分频,带testbench,可直接modelsim仿真-Verilog-based fractional divider with testbench, modelsim simulation can be directly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2357326
    • 提供者:yy
  1. DE2_Top

    0下载:
  2. 此设计是一个裸机的设计,其中包含在DE2开发板所有的引脚分配。它还包含一个与所有的对应于每个引脚的输入/输出端口的Verilog模块。这可以被用来作为一个起点上的电路板的设计。-This design is a bare-bones design containing all the pin assignments available on the DE2 board. It also contains a Verilog module with all the input/output por
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:18548
    • 提供者:yxqc
  1. Quartus-guide

    0下载:
  2. Quartus II的详细使用教程,初学verilog的可以好好看看,相信会有所帮助的-Quartus II detailed tutorial, verilog beginner can take a look, I believe will be helpful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1184083
    • 提供者:夏思源
  1. VGA800

    0下载:
  2. 本代码用verilog语言,配合quartus里自带的fifo来简单实现vga显示屏的操作,重点在于弄清楚时序。代码中被注释的部分也可以用于彩色条纹的测试。-The code to use verilog language, with quartus in fifo comes to simply achieve vga screen operation, with emphasis on clear timing. The code portion of the notes can be te
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-24
    • 文件大小:7909376
    • 提供者:普尔
  1. jtag

    4下载:
  2. verilog语言编写的jtag(边界扫描模块),初学的时候可以-verilog language jtag (boundary scan module), a novice when you can look
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-03-17
    • 文件大小:435200
    • 提供者:张一凡
  1. VGAcolor

    0下载:
  2. VGA显示的Verilog程序,非常适合初学者,本程序中采用康芯的试验箱,可以改引脚锁定。-VGA display Verilog procedures, ideal for beginners, this program uses Kang core chamber, you can change the lock pin.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1556116
    • 提供者:林月
  1. i2c

    0下载:
  2. iic总线编写例,可以借鉴使用,编程Verilog语言。-iic bus prepare cases, you can learn to use Verilog programming language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:730326
    • 提供者:谢凯聪
« 1 2 ... 39 40 41 42 43 4445 46 47 48 49 50 »
搜珍网 www.dssz.com