CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - can verilog

搜索资源列表

  1. RC_Engine

    0下载:
  2. 用Verilog實現的推薦系統, 用於片上系統設計-It is the Verilog source code for recommendation system. It can be used in SoC design.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6520
    • 提供者:iii ggg
  1. vga_pic

    0下载:
  2. 利用verilog编写的程序,并且实例化了一个rom,将mif文件初始化在rom中,可以实现在vga上显示图片。文字信息等,十分实用。-Use programs written in verilog, and instantiates a rom, rom the mif file initialization, you can achieve the vga display picture. Text information, very useful.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1267396
    • 提供者:灵湖仙梦
  1. vga256

    0下载:
  2. 利用verilog编写的可以在vga上动态显示256种颜色,自己的DIY之作。-You can use verilog prepared dynamic display 256 colors on vga, make your own DIY' s.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:325991
    • 提供者:灵湖仙梦
  1. aadd4

    0下载:
  2. verilog 描述的超前进位加法器,速度较快,可综合-lookahead adder verilog descr iption, faster, can be integrated
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:156332
    • 提供者:peyo
  1. adsub4

    0下载:
  2. verilog编写的可综合的加减法器 速度较快-verilog written on subtraction can be integrated faster
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:179888
    • 提供者:peyo
  1. alu

    0下载:
  2. verilog 编写的 可综合的ALU单元 可执行加减与或非 5种运算-verilog prepared by the ALU unit can be integrated with non-executable plus or minus five kinds of computing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:362541
    • 提供者:peyo
  1. jiaotongdeng

    0下载:
  2. 这是基于verilog hdl的交通灯源代码,实现40秒绿灯5秒黄灯,共45秒的红灯。试过可以的。大家可以-This is based on the traffic lights verilog hdl source code, 40 seconds yellow green 5 seconds, 45 seconds, the red light. Tried possible. We can look at
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:234172
    • 提供者:朱枫
  1. shuzishizhong

    0下载:
  2. 这是基于verilog hdl的数字时钟源代码,能够实现时分秒的计时,可以手动进行调时与调分。-This is based on the digital clock verilog hdl source code, can be achieved when every minute of the time, you can adjust the time manually adjusting points.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:373300
    • 提供者:朱枫
  1. beep

    0下载:
  2. 学习FPGA的入门程序,采用verilog语言,对时钟进行分频,控制蜂鸣器发声,可以发出七个音色,希望大家好好学习学习。-Learning FPGA entry procedures, using verilog language, clock frequency, control the buzzer sound can be issued seven tones, I hope you learn to learn.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:226013
    • 提供者:小育文子
  1. electronic-clock

    0下载:
  2. verilog电子时钟,可以实现复位、计时、校时、闹钟等多种功能。-verilog electronic clock, you can achieve a variety of functions reset, time, school, alarm clock and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:371943
    • 提供者:未完成
  1. 20131201q_IR_gxy

    0下载:
  2. 这是调试红外的verilog代码,红外遥控输入的信息可以直接显示在数码管上-This is the infrared verilog code debugging information infrared remote control input can be displayed directly on the digital
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7679499
    • 提供者:顾好人
  1. Class_Design

    0下载:
  2. 这是我的课程设计中的一部分,用来控制1602的verilog代码,可以显示字幕-This is my part of the curriculum design, used to control the 1602 verilog code, you can display subtitles
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:250010
    • 提供者:顾好人
  1. white

    0下载:
  2. 基于verilog的VGA白屏测试程序,可在xilinx的basys2开发板上直接运行-Verilog VGA-based black and white test program can be run directly on the basys2 xilinx development board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:162213
    • 提供者:Zic
  1. spi_write

    0下载:
  2. spi读写驱动程序 verilog语言编写 可直接调用-spi driver verilog language literacy can directly call
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4776824
    • 提供者:linyi
  1. altfp_log

    0下载:
  2. 浮点数 log运算模块 verilog语言编写 可直接调用-Log floating point arithmetic module can directly call verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1224125
    • 提供者:linyi
  1. altfp_mult_abs

    0下载:
  2. 浮点数 乘法器带绝对值运算 verilog语言编写 可直接调用-Floating-point multiplier verilog language with absolute operation can be called directly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:324785
    • 提供者:linyi
  1. altfp_matrix_mult

    1下载:
  2. 浮点数 矩阵乘法模块 verilog语言编写 可直接调用-Floating-point matrix multiplication module can directly call verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2248704
    • 提供者:linyi
  1. quartus_works_first

    0下载:
  2. 基于verilog语言的,FPGA程序,实现可暂停的计时器与数码管显示功能,计时范围0~99秒,精度0.01秒,在EP1C3T100C8上亲测通过-Based verilog language, FPGA program implementation can pause the timer with digital display function, time range from 0 to 99 seconds, precision 0.01 seconds, measured by the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:500815
    • 提供者:FT_Young
  1. quartus_works_second

    0下载:
  2. 基于verilog语言的,FPGA程序,实现频率计与数码管显示功能,转换频率48M,精度1Hz,量程1Hz~9999Hz,有欠频率和超频率提示,精度与量程可随外部设备改变而改变,在EP1C3T100C8上亲测通过-Based verilog language, FPGA procedures to achieve frequency meter with digital display, switching frequency 48M, precision 1Hz, range 1Hz ~ 99
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4190450
    • 提供者:FT_Young
  1. 16QAM

    1下载:
  2. 使用verilog编写的16QAM调制解调代码,可用于quartus和ISE,因为不包含FIR,只能用于仿真,不能用于实际通信-Verilog prepared using 16QAM modulation and demodulation code can be used quartus and ISE, because they do not contain FIR, only for simulation and not for actual communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:5359
    • 提供者:nike
« 1 2 ... 41 42 43 44 45 4647 48 49 50 »
搜珍网 www.dssz.com