CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - character

搜索资源列表

  1. lock-and-lcd

    0下载:
  2. 基于博创实验箱UP-CUP-FPGA2C35-Ⅱ和Verilog HDL硬件描述语言,分为按键输入模块、LED指示灯模块及LCD显示模块,采用按键BTN1、BTN2作为输入端输入四位密码与事先设定的密码进行匹配,由D1、D2、D3、D4四盏LED灯来指示输入密码的位数。开机时,LCD显示“HELLO! WELCOME!Enter the code:当”,密码输入正确时,LED灯D7亮,同时在实验箱LCD显示屏上显示字符串“Good! Well done!you are right!!!”,当密码
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:2640
    • 提供者:吴寿武
  1. LCD_Control

    0下载:
  2. 液晶1602的显示程序,固定显示几个汉字,修改汉字内容就可以用了-1602 LCD display program, fixed displays several characters, can be used to modify the content of a character
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1282
    • 提供者:宋珂
  1. rs232

    0下载:
  2. 使用VERILOG 代码实现的RS232 发送功能,接收一个字符马上回送回来-The RS232 using VERILOG code sending, receiving and sent back immediately return a character
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:945
    • 提供者:徐强
  1. URAT

    0下载:
  2. 串行通信接口UART设计,串行通信接口是指将构成字符的每个二进制数据位,依据一定的顺序传送的通信方法。-UART serial communication interface design, serial communication interface refers to the form of binary data bits per character, based on a certain order to send the communication method.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:2834
    • 提供者:chenquan
  1. dzxs02

    0下载:
  2. 16*16点阵汉字滚屏显示的VHDL源程序。汉字字数可以根据需要自行添加。-16* 16 dot matrix character scrolling display VHDL source. Chinese characters add their own words as needed.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:316085
    • 提供者:下世
  1. hanzi

    0下载:
  2. 点阵汉字滚动显示:用8*8行共阴、列共阳双色点阵 发光器件滚动地显示汉字(王、正) -Dot matrix character scrolling display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:109073
    • 提供者:乐乐
  1. addcharacter

    0下载:
  2. 字符叠加,在视频上叠加显示时间和汉字,并能控制移动-Character overlay, superimposed on the video display of time and characters, and can control the movement
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:914161
    • 提供者:张振
  1. S7_PS2_RS232

    0下载:
  2. 本实验实现PS/2接口与RS-232接口的数据传输, PS/2键盘上按下按键,可以通过RS-232自动传送到主机的串口调试终端上(sscom32.exe); 并在数据接收区显示接收到的字符。 串口调试终端的设置:波特率115200,一个停止位,无校验位。 -The experimental realization of PS/2 interface and RS-232 interface, data transmission, PS/2 keyboard, press the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1862415
    • 提供者:成语
  1. ControlCharacterGeneration

    0下载:
  2. The Control Character Generator generates the characters like ‘Start’, ‘End’, ‘Idle’. The control characters are added to the actual frames that are transmitted. The ‘Start’ character is appended before starting of frames and the character ‘End’ is a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:782
    • 提供者:Nikhil
  1. led_show

    0下载:
  2. 在FPGA中实现lcd字符型显示器的控制,并且能实现动态的显示-In the FPGA lcd character display control, and can achieve a dynamic display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:835507
    • 提供者:shicunying
  1. 1602jtxs

    0下载:
  2. 1602液晶显示器的头文件,主要功能是进行lcd的初始化,及写指令、写数据、检测忙碌状态、读数据、输出字符和字符串子函数程序。主函数中写出显示的光标地址和要显示的字符串就可进行仿真。用于初学lcd的朋友,可进行简单的显示字符串。-1602 LCD header file, the main function is to carry out lcd initialization, and write commands, write data, detect busy state, read dat
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1476
    • 提供者:征程
  1. lcd_test

    0下载:
  2. verilog hdl中的lcd固定显示字符-verilog hdl fixed in the lcd display character
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:339758
    • 提供者:xiaole
  1. 32jinzhi

    0下载:
  2. 32进制的详细描述 和ascii之间的对应关系-32 digital descr iption and ascii character relations
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:4718
    • 提供者:徐先生
  1. 1602Dynamic-display

    0下载:
  2. 名称:LCD1602 内容:通过标准程序动态显示字符 引脚定义如下:1-VSS 2-VDD 3-V0 4-RS 5-R/W 6-E 7-14 DB0-DB7 15-BLA 16-BLK-Name: LCD1602 content: The standard procedure for dynamic display of character pins are defined as follows :1-VSS 2-VDD 3-V0 4-RS 5-R/W 6-E 7-14 DB0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:15613
    • 提供者:陈超
  1. 1602LCD-Mobile-Display

    0下载:
  2. LCD1602 通过标准程序动态显示字符 显示光标和光标闪烁打开效果 -Name: LCD1602 content: The standard procedure for dynamic display of character and the cursor blinking cursor open results
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:16603
    • 提供者:陈超
  1. LCD_DISP

    0下载:
  2. LCD液晶显示器,带字库,会变代码。经过测试-LCD display with character, will become the code. Tested
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1026
    • 提供者:hzh
  1. LCD1601

    1下载:
  2. LCD1601在8051上的驱动,可在屏幕上显示任意字符-LCD1601 driver in 8051 can be any character on the screen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-21
    • 文件大小:14935
    • 提供者:齐洪涛
  1. LCD1602

    0下载:
  2. LCD1602在8051上的驱动,可在屏幕上显示任意字符-LCD1602 driver in 8051 can be any character on the screen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:16389
    • 提供者:齐洪涛
  1. DF2C8_08_LCD1602

    0下载:
  2. verilog实现控制1602字符型液晶控制,程序功能己验证.-verilog 1602 character LCD control to achieve control, the program features have been verified.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:480236
    • 提供者:mu langs
  1. proteus_lcd1602

    0下载:
  2. 字符_LCD和proteus仿真两个文件——可以实现仿真-Proteus simulation character _LCD and two files- can simulate ~ ~ ~
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:66548
    • 提供者:连连
« 1 2 3 45 6 7 8 9 »
搜珍网 www.dssz.com