CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - cpu设计

搜索资源列表

  1. the-design-of-16-bit-cpu

    0下载:
  2. 用vhdl硬件语言设计的16位cpu,上传的压缩包既包含源代码又包含详细的文档说明。-with vhdl hardware design language of the 16 cpu, Upload compressed contains both the source code also contains a detailed document shows.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:128112
    • 提供者:晶晶
  1. riscmcu

    0下载:
  2. 精简CPU设计,需要的可以下来看看,是VERILOG语言写的-streamlined CPU design, the need to be down look at the language is written in verilog
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:80466
    • 提供者:陈磊
  1. cpu-leon3-altera-ep1c20

    0下载:
  2. 一个使用VHDL设计的具有强大功能的32位CPU,这个文件包含了在Altera公司的ep1c20 FPGA的位码文件和配置文件,可以直接下载使用!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:687193
    • 提供者:zhao onely
  1. cpu-leon3-altera-ep2s60-ddr

    0下载:
  2. 一个使用VHDL设计的具有强大功能的32位CPU,这个文件包含了与之配套的DDR控制器程序!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:753022
    • 提供者:zhao onely
  1. cpu-leon3-xilinx-ml505

    0下载:
  2. 一个使用VHDL设计的具有强大功能的32位CPU,这个文件包含了在Xilinx公司的ml505 FPGA上的位码文件和配置文件,可以直接下载使用!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:391428
    • 提供者:zhao onely
  1. cpu-leon3-gr-pci-xc2v3000

    0下载:
  2. 一个使用VHDL设计的具有强大功能的32位CPU,这个文件包含了与之配套的PCI位码文件及配置程序。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:417128
    • 提供者:zhao onely
  1. VHDL-XILINX-EXAMPLE26

    1下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC0832电路控制实现SIN函数发生器][14--用状态机实现AD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3688067
    • 提供者:fuhao
  1. controlunit

    0下载:
  2. CPU设计中的controlunit源码,其中附带了时序仿真。通过Sequencing Logic 产生 control_signals,具体的信号可在controlsignal.mif文件中直接修改。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:328670
    • 提供者:ck
  1. MyCPU16

    0下载:
  2. 16位cpu设计VHDL源码,其中包括alu,clock,memory等部分的设计
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1089412
    • 提供者:孙冰
  1. lab80

    0下载:
  2. 基于FPGA的CPU设计 VHDL 编写
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3052061
    • 提供者:鹏鹏
  1. 8-cpu

    0下载:
  2. 8位CPU的VHDL设计,16条指令系统,以及部分测试代码,开发工具是quartusii_60_pc
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2883
    • 提供者:FJ
  1. CPU16

    1下载:
  2. 用VHDL语言开发的一个16位的具有5级流水线的CPU设计
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:417912
    • 提供者:luanjinlong
  1. RiscCPU8

    0下载:
  2. 可综合的VerilogHDL设计实例: ---简化的RISC 8位CPU设计简介---
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:219377
    • 提供者:hulin
  1. ARelativelySimpleRISCCPU

    0下载:
  2. A Relatively Simple RISC CPU 设计源码并附详细的说明文档。可以ModelSim进行仿真,并可以用synplify进行综合。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:224634
    • 提供者:hulin
  1. cpu_design

    0下载:
  2. 简单的CPU设计数字系统实验,使用的是精简指令,水平代码生成
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2364332
    • 提供者:violet
  1. VeriRiscCPU

    0下载:
  2. 这个文件中使用verilog hdl简单的利用基本运算实现了微型的cpu设计开发过程
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:18052
    • 提供者:陈轩辕
  1. CPU

    0下载:
  2. 以前在学校里的课程设计,使用verilog编写的一个CPU程序,可以下板子
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6507
    • 提供者:熊浩
  1. 处理10条指令的16位cpu

    0下载:
  2. 给定指令系统的处理器设计,指令字长16位,包含10种操作
  3. 所属分类:VHDL编程

  1. VHDL CPU部件

    1下载:
  2. 包括一个简单的ALU和一些寄存器、ROM的设计。有一些以TXT文件格式存在,用的时候只要改一下格式即可。
  3. 所属分类:VHDL编程

  1. PIPE_LINING_CPU_TEAM_24

    1下载:
  2. 采用Quatus II编译环境,使用Verilog HDL语言编写实现了五段流水线CPU。 能够完成以下二十二条指令(均不考虑虚拟地址和Cache,并且默认为小端方式): add rd,rs,rt addu rd,rs,rt addi rt,rs,imm addiu rt,rs,imm sub rd,rs,rt subu rd,rs,rt nor rd,rs,rt xori rt,rs,imm clo rd,rs clz rd,rs slt rd,rs,rt sltu rd,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4947366
    • 提供者:
« 1 2 3 45 6 7 8 9 10 ... 13 »
搜珍网 www.dssz.com