CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - crc 16

搜索资源列表

  1. crc

    2下载:
  2. 用Verilog编写crc校验码,包括8位,12位,16位,32位,非常实用
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:11138
    • 提供者:asd
  1. crc_verilog_xilinx

    0下载:
  2. 这是一个在FPGA上实现CRC算法的程序,包含了CRC-8,CRC-12,CRC-16,CRC-CCIT,CRC-32一共五种校验形式。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:10591
    • 提供者:李奥运
  1. trunk-hdlc.rar

    1下载:
  2. 高级链路层协议的实现,vhdl,fpga,- 8 bit parallel backend interface - use external RX and TX clocks - Start and end of frame pattern generation - Start and end of frame pattern checking - Idle pattern generation and detection (all ones) - Idle pattern
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:188322
    • 提供者:whs
  1. crcm

    0下载:
  2. crc 校验,vhdl源码,经仿真能正常运行,供大家参考-CRC checksum, vhdl source, the simulation can be normal operation, for your reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1023
    • 提供者:fangliang
  1. CRC16bits

    0下载:
  2. 16bit crc encoder ande demo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:167769
    • 提供者:chen
  1. crc

    0下载:
  2. CRC-16 VHDL Source Code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-06
    • 文件大小:164567
    • 提供者:kobin
  1. crc_verilog_xilinx

    1下载:
  2. CRC,对于研究通信的有重要意义.利用VERILOG实现8位,16位等CRC原理,-CRC, the study of communication are important. VERILOG to achieve the use of 8, 16, such as CRC principle,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:10701
    • 提供者:
  1. CRC_16

    0下载:
  2. crc16的串行和并行写法,而且有详细的测试文件-Serial and parallel crc16 written, and detailed test documents
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:20118
    • 提供者:Jammy
  1. crcvhdl

    0下载:
  2. crc-vhdl冗余码的vhdl源码,这是16位的crc-crc-vhdl vhdl source code redundancy, which is 16-bit crc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:303543
    • 提供者:姚一一
  1. PCK_CRC16_D1

    0下载:
  2. CRC源代码,VHDL文件,可供参考,16位的-CRC source code, VHDL files, for reference, 16-bit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:829
    • 提供者:ly
  1. CRC

    0下载:
  2. Cyclic redundancy check code (16-bit) Very good code verified code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:151076
    • 提供者:veerender
  1. CRC

    0下载:
  2. 一個CRC-12計算的串入式電路並下載至FPGA電路板-FPGA CRC-16
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:563
    • 提供者:TAE
  1. Perl_for_CRC

    0下载:
  2. Cyclic Redundancy Check (CRC) is an error-checking code that is widely used in data communication systems and other serial data transmission systems. CRC is based on polynomial manipulations using modulo arithmetic. Some of the common Cyclic Redu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:89718
    • 提供者:尤恺元
  1. CRC-Parallel-Computation

    0下载:
  2. 用软件实现CRC校验码计算很难满足高速数据通信的要求, 基于硬件的实现方法中, 有串行经典算法LFSR,电路以及由软件算法推导出来的其它各种并行计算方法。以经典的LFSR,电路为基础, 研究了按字节并行计算CRC校验码的原理.-Implemented in software CRC checksum calculation is difficult to meet the requirements of high-speed data communications, hardware-based
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:205611
    • 提供者:Geer
  1. CRC.C

    0下载:
  2. 下面以最常用的CRC-16为例来说明其生成过程。   CRC-16码由两个字节构成,在开始时CRC寄存器的每一位都预置为1,然后把CRC寄存器与8-bit的数据进行异或(异或:二进制运算 相同为0,不同为1;0^0=0 0^1=1 1^0=1 1^1=0),   之后对CRC寄存器从高到低进行移位,在最高位(MSB)的位置补零,而最低位(LSB,移位后已经被移出CRC寄存器)如果为1,则把寄存器与预定义的多项式码进行异或,否则如果LSB为零,则无需进行异或。重复上述的由高至低的移位8
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:11311
    • 提供者:malimin
  1. CRC

    0下载:
  2. CRC校验参考设计Verilog代码,crc8,16,32bit- crc8_8.v : CRC-8, 8-bit data input. crc12_4.v : CRC-12, 4-bit data input. crc16_8.v : CRC-16, 8-bit data input. crc_ccit_8.v : CRC-CCIT, 8-bit data input.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:10344
    • 提供者:guangngqiang
  1. fast-crc.tar

    0下载:
  2. crc-16-code for check redundancy code fast in 16 bit- in parallel and serial architecture-crc-16-code for check redundancy code fast in 16 bit- in parallel and serial architecture
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4769050
    • 提供者:fghj
  1. c_crc16

    0下载:
  2. CRC 16 development code
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-22
    • 文件大小:2048
    • 提供者:asraj
  1. crc_verilog_xilinx

    0下载:
  2. 包括下面文档: readme.txt : This file crc8_8.v : CRC-8, 8-bit data input. crc12_4.v : CRC-12, 4-bit data input. crc16_8.v : CRC-16, 8-bit data input. crc_ccit_8.v : CRC-CCIT, 8-bit data input. cr
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-05
    • 文件大小:10240
    • 提供者:chris_lj
  1. CRC

    0下载:
  2. CRC32:polynomial: (0 1 2 4 5 7 8 10 11 12 16 22 23 26 32) * data width: 8 CRC16:polynomial: (0 1 2 4 5 7 8 10 11 12 16 22 23 26 32) * data width: 8
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:2048
    • 提供者:FYSG
« 12 »
搜珍网 www.dssz.com