CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - ds18b20

搜索资源列表

  1. DS18b20

    0下载:
  2. 本设计采用无ROM的8051作为主控制芯片。8051的接口电路有8155,2732和ADC0809等芯片。8155用于键盘/LED显示器接口,2732可作为8031的外部ROM存储器,ADC0809为温度测量电路的输入接口。 本设计温度控制电路是通过可控硅调功器实现的。双向可控硅管和加热丝串联接在交流220V,50HZ交流市电回路,在给定周期内,8031只要改变可控硅管的接通时间便可改变加热丝功率,以达到调节温度的目的。 -This design uses no ROM 8051 as
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:106213
    • 提供者:高红
  1. 1-wireDS18B20

    0下载:
  2. 基于Nios II设计的1-wire数字温度计-Nios II-based design of digital thermometers
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-02
    • 文件大小:14342120
    • 提供者:晏翊
  1. DS1820

    0下载:
  2. DS18B20的驱动程序,可直接调用,不能实现小数显示,只能采集俩位整数值-DS18B20 driver can be called directly, can not be achieved decimal display, only integer values ​ ​ collected Liang Wei
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2391
    • 提供者:厉恩鹏
  1. DS18B20LCD

    0下载:
  2. DS18B20温度测量程序 之后用于在LCD显示屏上显示对应的温度-DS18B20 test code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1867
    • 提供者:abc
  1. 12864_UART

    0下载:
  2. 基于NIOS II的多点测温(DS18B20),按键发送温度数据到上位机,12864液晶显示,调试成功,黑鸡开发平台调试-NIOS II based on the multi-point temperature (DS18B20), keys to send temperature data to the PC, LCD 12864, commissioning successful, black chicken development platform debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-03
    • 文件大小:15096713
    • 提供者:王经纬
  1. ds18b20caiji

    0下载:
  2. VHDL DS18B20采集显示程序,希望帮助大家-VHDL DS18B20 acquisition and display program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:5178
    • 提供者:戴超
  1. temp

    1下载:
  2. 数字温度计,用VHDL语言编写。温度传感器为DS18B20.-Digital Thermometer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:219021
    • 提供者:孟祥龙
  1. PWM-Fan

    0下载:
  2. 基于stc89c52rc的单片机c程序。运用ds18b20采集温度。单片机采集温度,并产生相应的PWM信号控制风扇运行。-Based on stc89c52rc microcontroller c program. To use ds18b20 acquisition temperature. Microcontroller to capture temperature, and generates the appropriate PWM signal to control fan operat
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:64231
    • 提供者:shaojun
  1. verilog18b20

    0下载:
  2. DS18B20操作,verilog HDL-DS18B20control,verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2240
    • 提供者:曾晓荣
  1. ds18b20_seg7

    1下载:
  2. 基于 FPGA+ds18b20 温度计 设计 一ds18b20接受 数据 以数码管 显示温度-Designed based on FPGA+ Ds18b20 thermometer ds18b20 accept the data to the digital display temperature
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:79187
    • 提供者:季阳阳
  1. DS12C887CPDS18b20PLCD1602

    0下载:
  2. DS12C887C+DS18b20+LCD1602时钟制作-DS12C887C+DS18b20+LCD1602 clock production
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:382489
    • 提供者:hgh
  1. 12

    0下载:
  2. 单片机用1602 lcd与ds18b20设计的温度报警器程序,虽不可仿真,但可参考-Canopy temperature control system design and implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1966
    • 提供者:陈泉宏
  1. ds18b20Verilog

    0下载:
  2. 用的ds18b20简单的12位温度传感器在FPGA上实现温度的采集-Collection of used ds18b20 12 temperature sensors on the FPGA temperature
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:198521
    • 提供者:lianglaing
  1. DS18B20vhdl-cpl240t105

    0下载:
  2. vhdl 编写的ds18b20的程序,用在cpld240t105上面-vhdl prepared ds18b20 of the program used in cpld240t105 above
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:364117
    • 提供者:ax
  1. FPGA_DS18B20

    0下载:
  2. 利用FPGA,vhdl语言设计,控制DS18B20芯片温度检测。-FPGA, vhdl language design, control DS18B20 chip temperature detection
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:746689
    • 提供者:卢宇生
  1. DS18B20_NEW2

    0下载:
  2. DS18B20 FPGA 数字温度计-DS18B20 FPGA digital temperature
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:1350127
    • 提供者:zhangruimin
  1. DS18b20

    0下载:
  2. VHDL FPGA 温度传感器D18B20驱动程序 带工程文件 下载可以直接使用-VHDL FPGA temperature sensor D18B20 driver with a project file can be downloaded directly use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-06
    • 文件大小:850653
    • 提供者:赵音
  1. ds

    0下载:
  2. 用VHDL实现的DS18B20温度传感器驱动,有效温度数据位为9位,每92ms刷新一次温度数据。-DS18B20 temperature sensor using VHDL drive, the data bits of the effective temperature of 9 per 92ms refresh time temperature data.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:1505
    • 提供者:RH
  1. Ds18b20_bin2bcd

    0下载:
  2. DS18B20数字温度计中小数部分转换BCD码-DS18B20 digital thermometer small number of parts to convert BCD code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-06
    • 文件大小:2313
    • 提供者:wenyangzeng
  1. DS18B20

    0下载:
  2. VHDL语言写的DS1802温度传感器控制程序,可运行-VHDL language used to write the DS1802 temperature sensor control program can be run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:733832
    • 提供者:冯科磊
« 1 2 3 4 56 7 »
搜珍网 www.dssz.com