CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - elevator control

搜索资源列表

  1. elevator

    0下载:
  2. VHDL开发环境,电梯控制系统,实现电梯的上下传送控制。-VHDL development environment, elevator control system, transmission control up and down elevators.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1763973
    • 提供者:王蕊
  1. lift_controler-verilog

    0下载:
  2. 电梯控制程序!! verilog 描述的-Elevator control procedures! ! described in verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:664654
    • 提供者:pan
  1. elevator

    0下载:
  2. 用VHDL编写的一个电梯控制程序,花了很长时间,应该很不错的-VHDL prepared with a elevator control procedures, took a long time, should be very good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:731954
    • 提供者:小毛
  1. lift.vhd

    0下载:
  2. 用VHDL实现了电梯的模拟程序,实现了自动判断楼层,然后根据客户需求和楼层最近原则,实现自动判断上下行,还有报警,强制开门等功能-Achieved using VHDL elevator simulation program, to determine the realization of an automatic floor, and then based on the principle of demand and the floor recently, automatically dete
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:1356
    • 提供者:董灏
  1. elevator

    0下载:
  2. 这是一个小课程设计,关于电梯控制的vhdl仿真程序,内容十分完整-This is a small curriculum design, on the elevator control of vhdl simulation program, very complete
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:285481
    • 提供者:fengyun
  1. Elevator_controller

    0下载:
  2. 电梯控制器VHDL程序与仿真,6层楼的电梯控制系统-Elevator controller VHDL procedures and simulation, six-story elevator control system
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:162470
    • 提供者:葛棋棋
  1. dianti

    1下载:
  2. FPGA的电梯控制程序,用vhdl语言实现电梯的控制的代码-FPGA elevator control program, using vhdl language implementation code for the control of the elevator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-18
    • 文件大小:3309
    • 提供者:萤火虫
  1. wodewenjian

    0下载:
  2. 基于FPGA的电梯控制系统的设计 将电梯的运行状态划分为开门,一层,二层,三层,四层五个状态,设一层开门为电梯的初始状态,up1,up2,up3分别作为一层,二层,三层的上升请求,四层没有上升请求;down2,down3,down4分别作为二层,三层,四层的下降请求,同理一层是没有下降请求的;s1,s2,s3,s4分别作为一层,二层,三层,四层的停站请求;x1,x2,x3,x4分别作为一层,二层,三层,四层的停站请求显示;door作为门的状态,“0”表示关,“1”表示开;mode作为电梯的运
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:993
    • 提供者:吴海霞
  1. VHDL-dianti

    0下载:
  2. 高楼电梯自动控制系统(Windows平台上运行的ispLEVER编程软件。 ): 1统控制的电梯往返于1-9层楼。 2客要去的楼层数可手动输入并显示(设为A数)。 3梯运行的楼层数可自动显示(设为B数)。 4A>B时,系统能输出使三相电机正转的时序信号,使电梯上升; 当A<B时,系统能输出使三相电机反转的时序信号,使电梯下降; 当A=B时,系统能输出使三相电机停机的信号,使电梯停止运行并开门; 5是上升还是下降各层电梯门外应有指示,各层电梯门外应有使电
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:34734
    • 提供者:
  1. dianticontrol

    0下载:
  2. 此源码为基于VERILOG的FPGA的电梯控制程序。-This source of FPGA-based VERILOG elevator control procedures.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:10548
    • 提供者:王强
  1. diantikongzhixiqi2

    0下载:
  2. 基于FPGA的电梯控制器。其中一共分为3部分,1、显示电路的VHDL程序。2、9层电梯控制器主体的程序。3、顶层模块设计程序-The elevator control system based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:17979
    • 提供者:shanelai
  1. elevator-control

    0下载:
  2. 三层电梯的详细电路 Foundation版 包括强行开关门打断-Elevator control Foundation project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:322441
    • 提供者:sunfeng
  1. Elevator

    0下载:
  2. 基于FPGA学校开发板实现4层电梯控制,引脚配置完毕,通过LED灯实现楼层切换-FPGA-based school boards to achieve 4-story elevator control, pin configuration is completed, achieved through the floor switch LED lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:632744
    • 提供者:sun
  1. elevator-control-c-code

    0下载:
  2. 用于单片机实现电梯控制的程序代码,适用于多种单片机和开发板运行,程序经典小巧,适合初学者学习借鉴。-For elevator control MCU program code for a variety of microcontroller and development board to run the program the classic compact, suitable for beginners to learn.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:131640
    • 提供者:李卓
  1. the-elevator-control-system

    0下载:
  2. 基于fpga的电梯控制系统设计,采用双电梯联动的方式-Fpga-based elevator control system design, using dual elevator linkage
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:75331
    • 提供者:凌应龙
  1. elevator

    0下载:
  2. 六层电梯控制,与实际电梯的运行逻辑一致,开门后5s自动关门(注:无快速关门和开门功能)-Six-story elevator control, consistent with the actual logic of operation of the elevator opened the door automatically closed (Note: No quick closing and opening function 5s)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:783927
    • 提供者:樊旭
  1. elevator

    0下载:
  2. 改程序是电梯的控制程序,verilog语言编写-The reform program is the elevator control procedures, verilog language write
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:737501
    • 提供者:王金锐
  1. elevator

    0下载:
  2. 电梯控制系统 (1) 电梯有14层,复位后停在地下一层,即0层。 (2) 通过置数功能,可以设定现在所处楼层数。 (3) 设置好想要到的楼层后按下up或down开关,up或down相应指示灯点亮,电梯运行。 (4) 在电梯运行过程中,相应楼层指示灯点亮,数码管显示楼层数,到达目的楼层后,停止指示灯点亮,up或down指示灯熄灭。 (5) 电梯每1秒上升或下降一层。 -elevator control system
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:623559
    • 提供者:cici
  1. elevator

    0下载:
  2. fpga电梯控制程序,有很强的参考价值,希望大家能够喜欢。-fpga elevator control procedures, there is a strong reference value, I hope you will enjoy it.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:776749
    • 提供者:崔凯华
  1. elevator

    0下载:
  2. 编写的6层电梯控制程序,包括原文件和仿真文件等,注释详细-Written in six-story elevator control procedures, including the original files and simulation files, detailed notes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:639613
    • 提供者:john
« 12 3 4 5 »
搜珍网 www.dssz.com