CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - fm调制

搜索资源列表

  1. dds_ise7.1_su

    2下载:
  2. 用Verilog语言实现信号发生器,包括AM,FM,PM,ASK,PSK,FSK调制。-using Verilog language signal generator, including AM, FM, PM, ASK, PSK, FSK modulation.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5426
    • 提供者:lee
  1. dds_final

    1下载:
  2. 使用Verilog HDL语言实现的一个DDS,可以发生0-10Mhz正弦波、方波、三角波,频率步进可调,FM调制、AM调制,调制度可调。DA芯片为8位并行,160MHz-Using the Verilog HDL language implementation of a DDS, can occur 0-10Mhz sine, square, triangle wave, frequency step tunable, FM modulation, AM modulation, adjusta
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-09-21
    • 文件大小:1638657
    • 提供者:nostalgia
  1. DDS(fsk-ask-psk)

    0下载:
  2. 基于VHDL的波形调制,其中包括调频、调幅,调脉宽等-VHDL-based waveform modulation, including FM, AM, pulse width modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6747337
    • 提供者:王展
  1. BPSK

    0下载:
  2. 在quartus ii下完成的用VHDL语言编写的数字式调频BPSK的调制,其中DDS和成型滤波使用ip核完成-Accomplished in quartus ii the use of VHDL language digital FM BPSK modulation, which use the ip filter DDS and forming complete nuclear
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:326850
    • 提供者:
  1. DAC908-AM-FM--sinsin

    1下载:
  2. 基于FPGA的DDS发生器以及AM、FM模拟调制-The DDS generator and FPGA-based AM, FM analog modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1675965
    • 提供者:xuexun
  1. 1

    0下载:
  2. 高频电子技术的仿真实验包括:LC选频。AM,FM,DSB,SSB的调制。利用EWB仿真-High-frequency simulation of electronic technology, including: LC frequency selection. AM, FM, DSB, SSB modulation. EWB simulation using
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:71996
    • 提供者:
  1. if-receiver

    1下载:
  2. 中频数字接收机设计与实现 对中频数字接收机方案的可行性作了分析,并通过系统仿真工具SystemView对A/D,数字下变频(DDC)及AM、FM等调制信号的软件解调作了仿真。-Design and implementation of a digital intermediate frequency receiver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2050579
    • 提供者:ldd
  1. fm

    0下载:
  2. 利用altera的cyclone FPGA芯片,实现FM调制,并使用自带的逻辑分析仪仿真成功-The use altera cyclone FPGA chip, FM modulation, and use its own logic analyzer successful simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1171747
    • 提供者:luomeigang
  1. FM_T

    2下载:
  2. 一个简单的FM调制模块,FM发射,用Verilog编写,基于Xilinx SPARTAN6 XC6LX9开发-A simple FM modulation modules for FM transmitter, using Verilog prepared, based on XILINX SPARTAN6 XC6LX9 Development
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1205248
    • 提供者:郭永峰
  1. Lab4

    0下载:
  2. 该实验室会议的目的是要实现一个可配置的FM-AM数字调制器的数据通路。它是由一个CIC内插滤波器及可配置的FM-AM块。调制器信号以48kHz被取样,并且由CIC内插滤波器的装置内插高达96MHz的。在FM-AM配置块适用于96 MHz的时钟-The aim of this laboratory session is to implement the data-path of a configurable FM-AM digital modulator. It is composed of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4365299
    • 提供者:张珂
  1. FM

    1下载:
  2. 使用Verilog HDL ,FM调制信号。(Using Verilog, HDL, and FM modulation signals.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-22
    • 文件大小:1024
    • 提供者:LCG007
  1. Comprehensive_FM_IP

    1下载:
  2. 在vivado平台上的用verilog语言编写的FM直接调制程序(On vivado platform of FM modulation directly program written in verilog language)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:83075072
    • 提供者:wuyuyanglei
搜珍网 www.dssz.com