CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - lcd to

搜索资源列表

  1. SDRAMPC7YC6008

    0下载:
  2. 基于cyclone ii系列的FPGA处理USB跟SDRAM通信系统,同时将lcd屏中的数据上传到PC中。-Cyclone ii series FPGA processing USB and SDRAM communication system, and the lcd screen in the data upload to PC.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:9404568
    • 提供者:杜历军
  1. 35-inch-TFT_-simple-oscilloscope

    0下载:
  2. 1,本例程为一个简易示波器,可以实时的显示AD采样波形和DA输出波形。 使用要求为EP2C8Q_V2的板子+3.5寸TFT LCD。 2,画面上显示的“DA输出:”下面就是DA输出的波形。 3,画面上显示的“AD输入:”下面就是AD采样的波形。 4,因为性能限制,只能用来学习参考之用。-1, the routine for a simple oscilloscope, real-time display of the AD sample waveform and the DA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-03
    • 文件大小:15338532
    • 提供者:ihyni
  1. VGA_Module

    0下载:
  2. 用VGA LCD显示汉字,已经调试过,没有错误,尽可下载-it can be used to display word on VGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1871
    • 提供者:何勇
  1. lcd1602

    0下载:
  2. 也是LCD1602液晶模块的头文件 在我们使用液晶模块时 必须加入头文件才可以-LCD1602 LCD module header files we use the LCD module must be added to the header file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2803
    • 提供者:朱振军
  1. Servicemanual_Belinea_101910_artno_111908

    0下载:
  2. Service manual for LCD monitor 19" Belinea part No. 101910 to 111908
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:2021078
    • 提供者:John
  1. FPGA_12864

    0下载:
  2. FPGA控制12864LCD液晶的Verilog程序,例子简单,程序精简,很好的资料。-FPGA to control the Verilog program 12864LCD LCD, for example, streamline procedures, good information.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:4289
    • 提供者:pang
  1. LED-RTC

    0下载:
  2. 用于LCD显示的类,可控制显示的方向、速率等,绝对好-good to study LCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:100527
    • 提供者:杨选文
  1. lcd_top

    0下载:
  2. 针对xilinx fpga开发用verilog写的lcd接口驱动,下载到板子上可以点亮LCD灯-Xilinx fpga for development verilog write with the LCD interface drive, downloaded to the board can light LCD lamp
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:3468
    • 提供者:张康
  1. ps_top

    0下载:
  2. verilog写ps2接口驱动程序,对状态机的描述。把键盘串行的13为数据转换为并行的8为数据,并储存在寄存器-The needle verilog write ps2 interface drivers, to the descr iption of the state machine. The keyboard for data transfer of serial and parallel for the 8 for data, and stored in a register to xi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:3683
    • 提供者:张康
  1. taxi-fee

    0下载:
  2. ①根据出租车的档位和计时电路的协同工作计算费用; ②通过路程计价:起步价 5元 ,当MODE=0,低速档(每秒按汽车行驶10M,每百米加价0.1元); MODE=1,高速档(每秒按汽车行驶30M计算,每百米加价0.2元) ③通过LCD显示:第一行:DISTANCE(路程) 第二行:MONEY(车费) ④复位功能:RST高电平有效实现复位; ⑤时钟分频:50MHZ的时钟分频为秒钟。 -① According to the taxi stalls and timing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2977
    • 提供者:侍云杰
  1. lcdafpga

    0下载:
  2. LCD控制FPGA的VHDL程序与仿真-FPGA to drive the LCD display Chinese characters "" program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4519
    • 提供者:高帅娜
  1. C8051F041k

    0下载:
  2. 根据等精度测频原理, 给出了采用C8051F041单片机为主控芯片的高精度数字频率 计的设计方法。 该方法将待测频率信号经过整形放大后输入单片机, 然后由单片机控制内部 计数器分别对待测信号和标准信号同时计数, 再经运算处理得到测量结果, 并由LCD实时显 示, 同时通过RS232串口传至上位机进行记录分析。 该设计方法与传统测频系统相比, 具有 测频精度高, 速度快, 范围宽等优点-Equal precision frequency measurement principle,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:70324
    • 提供者:李珍霞
  1. Verilog_LCD_Module

    0下载:
  2. LCd interface to xilinx fpga module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:5416
    • 提供者:challu
  1. DE2_70_default

    0下载:
  2. 開發版DE2_70的TV的原始碼,可以透過camera輸入將畫面輸出到LCD上做顯示-The source of the Developer Edition DE2_70, TV s, camera input via video output to do the show on the LCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:611861
    • 提供者:terry
  1. 2

    0下载:
  2. LCD显示,图片和时间以及文字,并能够在笑键盘上输入并显示-LCD display, pictures and time, as well as text, and able to laugh on the keyboard input and display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:786850
    • 提供者:陈占峰
  1. LCD1602

    0下载:
  2. 在FPGA板上实现LCD1602液晶屏的显示,工程需要自己建立,引脚也得根据自己板子具体重新分配。-LCD1602 LCD display FPGA boards, engineering establishment, the pins have to board according to their specific re-allocation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3106589
    • 提供者:艾馨
  1. Electronic-clock-simulation

    0下载:
  2. 1、LCD显示“时钟”样式,时针、分针、秒针显示实时时间; 2、LCD显示“数字钟”样式,动态显示年、月、日、时、分、秒; 3、显示时间可以修改并使“时钟”与“数字钟”保持一致; 4、LCD下方动态显示小组成员姓名、学号等个人信息。 -1, the LCD displays "clock" style, hour, minute, second hand, displays real time LCD display digital clock style, dynamic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:2835
    • 提供者:龚小宝
  1. DE2_Top

    0下载:
  2. DE2 驱动配置,LCD,LED,,Audio,VGA显示等功能-DE2 driver config to realise LCD/LED/VGA/Audio display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:29279
    • 提供者:jerry
  1. Frequency-meter-design.docx

    0下载:
  2. 1用P1或P3口,产生一方波信号,频率为1000Hz,用LCD显示频率和周期 2将输出信号输入到另一端口作频率计的信号输入端,测量此方波信号的频率、周期和脉宽,在另一LCD上将参数值显示出来。 3设置一功能键,能将当前LCD上的信号值锁定 -P1 or port P3, resulting in a party wave signal, a frequency of 1000Hz LCD displays frequency and cycle 2 output signal i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:312849
    • 提供者:
  1. fpga-tft-FSM

    0下载:
  2. fpga使用有限状态机驱动tft lcd 彩屏,达到显示效果-The fpga use finite state machine driven tft lcd color screen, to display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1635
    • 提供者:李林
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 »
搜珍网 www.dssz.com