CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - lcd to

搜索资源列表

  1. Image

    0下载:
  2. it is a short program for reading the data of 128*64 lcd to find a fire point
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:447638
    • 提供者:mohsen
  1. kb_lcd

    0下载:
  2. 由VHDL撰写的PS/2键盘输入至LCD的程序,可以由DE2 Board直接进行烧录测试。-VHDL written by the PS/2 keyboard input to the LCD s program, you can burn directly from the DE2 Board test.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:897717
    • 提供者:Risger
  1. LCD1602

    0下载:
  2. 用VHDL编程,在FPGA上实现串口!已经调试通过,希望一起分享!-Using VHDL programming, the FPGA, Serial! Has been debugged and want to share!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:764537
    • 提供者:ncf
  1. lcd.vhd

    0下载:
  2. 能够实现控制LCD显示的VHDL程序代码。-To achieve control of LCD display VHDL code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1263
    • 提供者:刘静
  1. LCD-VHDL-Design

    0下载:
  2. 本程序模块的功能是验证实现LCD液晶显示。-This procedure is to verify the function module to achieve LCD liquid crystal display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:496177
    • 提供者:RoyHunter
  1. LCD1602_Verilog

    0下载:
  2. 1602液晶显示字符串..用FPGA来控制1602液晶显示.-1602 LCD display with a FPGA to control the string .. 1602 LCD.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:897226
    • 提供者:罗小明
  1. lab5_u07_keypad_lcd_intr

    0下载:
  2. To understand how a keypad functions a raster scan input device and to learn how to interface a keypad to a microprocessor. • To understand how to control an LCD panel and to interface it to a microprocessor. (Normally, an LCD can be u
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:40530
    • 提供者:shay
  1. LCD

    0下载:
  2. 用verilog 语言编程,通过FPGA控制LCD,显示字符-a verilog program on FPGA to control LCD.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:3867
    • 提供者:dujuan
  1. VGA_1024_768

    0下载:
  2. VGA控制器,由Verilog编写,用于驱动VGA显示器和TFT液晶屏-VGA controller, prepared by the Verilog, to drive VGA monitors and TFT LCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-10
    • 文件大小:1117184
    • 提供者:骨头好
  1. lcd

    0下载:
  2. 用Verilog写的数码管动态显示代码,可以直接使用,在quartus ii软件9.0以上版本运行-Verilog digital control with dynamic display of written code, can be used directly in the quartus ii software, version 9.0 or above to run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:347973
    • 提供者:陈飞
  1. PS2_jianpanshibie_FPGA

    0下载:
  2. 实现了PS2接口的主键盘和小键盘的识别,采用第二套键盘译码表,如果你想使用第一套或者第二套键盘译码,只需做少量的修改就可以实现。只要稍加修改就可以实现你所希望的功能,此程序只实现了LCD灯的控制。-PS2 interface implements the main keyboard and keypad recognition, using the second set of keyboard decoding table, if you want to use the first set or
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:519571
    • 提供者:
  1. lcd

    0下载:
  2. 在Cyclon II EP2C5T144上实现LCD1602的显示 采用VerilogHDL语言编写-To achieve the Cyclon II EP2C5T144 LCD1602 display language using VerilogHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:904550
    • 提供者:东东
  1. Lab3

    0下载:
  2. Display text to the LCD of a DE2 board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:190814
    • 提供者:Mitchell
  1. lcd-ip-core

    0下载:
  2. LCD 驱动的IPCORE,可用于alteraFPGA-LCD driver IPCORE, can be used to alteraFPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:26886
    • 提供者:徐湛
  1. LCD_CLOCK

    1下载:
  2. 用1602液晶显示的数字电子钟,并且可以用按键开关调整时间,日期,星期。-1602 LCD display with digital electronic clock, and the key switch can be used to adjust the time, date, week.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3165109
    • 提供者:周航
  1. Car_drive

    0下载:
  2. 模拟汽车仪表板-由键盘去模拟控制汽车的油门、煞车、方向灯、大灯…等等。 由LCD去显示出目前的时速和档位。 LED模拟方向灯、大灯、转速表。 -Analog dashboard- from the keyboard to simulate the control of the car throttle, brake, turn signals, headlights ... and so on. By the LCD to display the current speed and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2746909
    • 提供者:simon
  1. lock-and-lcd

    0下载:
  2. 基于博创实验箱UP-CUP-FPGA2C35-Ⅱ和Verilog HDL硬件描述语言,分为按键输入模块、LED指示灯模块及LCD显示模块,采用按键BTN1、BTN2作为输入端输入四位密码与事先设定的密码进行匹配,由D1、D2、D3、D4四盏LED灯来指示输入密码的位数。开机时,LCD显示“HELLO! WELCOME!Enter the code:当”,密码输入正确时,LED灯D7亮,同时在实验箱LCD显示屏上显示字符串“Good! Well done!you are right!!!”,当密码
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:2640
    • 提供者:吴寿武
  1. VGA-LCD

    0下载:
  2. 用Altera Quartus II 的VHDL语言来完成LCD的液晶显示汉子功能-The use of Altera Quartus II VHDL language to complete the function of LCD liquid crystal display man
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:645173
    • 提供者:nanana
  1. LCD

    0下载:
  2. 经典LCD显示程序,经过认证试验,确保无误。引脚说明等。-Classic LCD display program, certified test to ensure correct. Pin descr iptions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:353216
    • 提供者:陈雨
  1. LCD

    0下载:
  2. LCD液晶显示屏滚动显示,可根据需要更改显示内容。-LCD liquid crystal display scroll, according to the need to change the display content.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:385039
    • 提供者:姚武
« 1 2 34 5 6 7 8 9 10 ... 17 »
搜珍网 www.dssz.com