CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - lcd to

搜索资源列表

  1. fpga_pc

    0下载:
  2. 该源码实现了XILINX的FPGA(Spartan 3E)与计算机的通信,用到了rs232串口、ps/2键盘接口、lcd液晶,是学习FPGA很好的资料-The source implementation of the XILINX' s FPGA (Spartan 3E) and computer communications, use the rs232 serial port, ps/2 keyboard interface, lcd LCD is good information t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:698556
    • 提供者:tangtao
  1. keyboard-for-lcd

    0下载:
  2. 键盘控制的LCD显示,可灵活运用于实验,仿真等方面-Keyboard control of the LCD display can be flexibly applied to experiments, simulations, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:155902
    • 提供者:盘县心
  1. tft_lcd

    0下载:
  2. 用verilog写的TFT液晶驱动程序,本程序经下载到开饭板后,能正常运行-Verilog write with TFT LCD driver, this program downloaded to the have dinner plate, can normal operation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-10
    • 文件大小:4426752
    • 提供者:jin
  1. LCD-with-comment

    0下载:
  2. Simple code to display using 8051 interfacing with LCD 2x16
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1367
    • 提供者:ressaly
  1. lcd

    0下载:
  2. 一些关于液晶画直线的原理和参考程序,看了之后觉得蛮不错的,分享给大家-Some draw a straight line on the principle of liquid crystal and reference program, after reading that very good, for everyone to share
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:188248
    • 提供者:liumengyi
  1. LCD

    0下载:
  2. 在DE2-70上使用LCD时,刚开始不知道要接电源,后来经过试验,终于知道要怎么设置了,该工程里面就有,希望能给初学者一些帮助。-DE2-70 used on the LCD when it was not known to take power, was tested, and finally know how to set up the project which had hoped to give beginners some help.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:3157
    • 提供者:ricky
  1. LCD

    0下载:
  2. 1602LCD显示器程序,可以稍加修改方便用户使用-1602LCD display program can be modified to user-friendly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1156
    • 提供者:谢磊
  1. hongwai-LCD

    0下载:
  2. 通过红外线来控制LED及单片机处理的效果,用来简单的红外学习-Through infrared to control LED and SCM processing effect, used a simple infrared learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:47136
    • 提供者:尹冰
  1. lcd-16x2-arduino-lcd-codes

    0下载:
  2. Source code print caracter to lcd 16x2 from arduino modules
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:697
    • 提供者:micker
  1. lcd

    0下载:
  2. LCD的循环显示,先点亮LCD然后对其进行操作,实现了通过nios2控制LCD的显示,-Cycle of the LCD display, first lit LCD and its operations, to achieve control through the nios2 LCD display,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:839
    • 提供者:zxhou
  1. programinc

    0下载:
  2. It is the source code of 8051 microcontroller kit. This coding helps us to interface LED, sevensegment, LCD to microcontroller 8051. This coding also help u to understand the tutorial of 8051 microcontroller-It is the the source code of 8051 microcon
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:130856
    • 提供者:ridhi
  1. LCD

    0下载:
  2. xilinx S3E开发板实现LCD显示字符串功能-xilinx S3E development board LCD display string functions to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:408572
    • 提供者:顾老
  1. lcd

    0下载:
  2. 适合于cortexM3的12864液晶程序。测试通过,很好用。其中包括所有一般显示功能所需的函数,只需要调用即可实现自己需要的功能。-Suitable for the cortexM3 12864 LCD program. Through testing, it is to use. Including all general display function of the required function, can be realized just need to invoke to fun
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4353900
    • 提供者: 张宁
  1. LCD-Digital-voltmeter

    0下载:
  2. 数字电压表的基本工作原理是利用A/D转换电路将待测的模拟信号转换成数字信号,通过相应换算后将测试结果以数字形式显示出来的一种电压表。较之于一般的模拟电压表,数字电压表具有精度高、测量准确、读数直观、使用方便等优点。-Digital voltmeter the basic working principle is to use A/D converter circuit to test the analog signals into digital Signal converted by th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:139839
    • 提供者:任林
  1. design-2-recieve-serial-data-and-display-on-LCD.z

    0下载:
  2. 8051 code to receive name serially.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2124
    • 提供者:Parth Borda
  1. input-output-LCD-in-ATmega8535

    0下载:
  2. this program use to display a character in LCD module 16x2, use ATMega 8535
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1036
    • 提供者:agitaprasetyo
  1. using-LCD-display-welcome-to-china

    0下载:
  2. LCD循环右移显示Welcome to China-using LCD display welcome to china
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:16622
    • 提供者:li
  1. lcd

    0下载:
  2. FPGA控制12864液晶显示,本程序已经调试通过,可以根据自己的要求随意变换显示内容。本程序用Verilog编写。-FPGA to control the 12,864 LCD, debugging has passed, can transform reality according to their Chinese characters, written in Verilog program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:246387
    • 提供者:子莫言
  1. USB-PLUS-LCD

    0下载:
  2. USB LCD,use it to write to lcd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:189739
    • 提供者:KONAMI
  1. key-lcd

    0下载:
  2. 单片机键盘扫描 采用独立键盘 显示时钟-Keyboard for scanning using a separate keyboard to display clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:22498
    • 提供者:韩彦武
« 1 2 3 45 6 7 8 9 10 ... 17 »
搜珍网 www.dssz.com